附錄 99.3

LOGO

推動發展的技術戰略
摩爾定律成為
下一個十年
馬丁·範登布林克
總統和
首席技術官


LOGO

技術策略
整體光刻路線圖由我們的獨特之處驅動
關鍵信息 通過Slide 2為客户帶來價值的模式化控制解決方案
2021 年 9 月 29 日
提高了產品性能。
摩爾定律還活得很好!行業 創新 ASML 的全面產品組合符合我們的
繼續,在系統擴展的推動下,提供高價值 的客户路線圖,提供具有成本效益的支持解決方案
半導體產品。在所有 個應用中,從前沿節點到成熟節點
半導體系統擴展實現指數級增長我們的下一代 EUV 技術, 高 nA,正在取得進展
性能改善和能耗降低,以支持 well ,並將成為推動光刻路線圖進入的引擎
數據交換的顯著增長。未來十年
客户路線圖需要持續縮減規模,而持續執行我們的戰略優先事項有望實現
減少邊緣佈局誤差以實現可承受的擴展,為我們的客户提供具有成本效益的解決方案,使
進入下一個十年。將行業路線圖延長到下一個十年,以及
支持我們的長期可持續發展承諾
2


LOGO

摩爾定律的演變和客户路線圖
ASML 的戰略優先事項
3


LOGO

我們面前的重大邏輯設備創新即將到來
擴展路線圖繼續擴展到 1 nm 及以上幻燈片 4
2021 年 9 月 29 日
3 nm 2 nm1,5 nm1 nm1 nm 及以上
PP: 44-48,國會議員:21-24 PP:40-44,MP:18-21PP: 40-44,MP:18-21PP:38-42,國會議員:15-18
BPR BPR
FinFET 納米片、BPR Forksheets、VHV 標準細胞拱門。CFET,帶氣隙的 BEOL 2D 原子通道
5T 5T 地埋式電力導軌 (BPR) 納米片材叉片金屬蝕刻帶氣隙金屬蝕刻帶氣隙
PP:多晶間距 (nm)
MP:密集金屬間距 (nm)
VHV:垂直-水平-垂直-垂直 CFET:互補場效應
資料來源:IMEC,Sri Samavedam, 未來邏輯擴展:邁向原子通道和解構芯片,IEDM,2020年12月。公開
4


LOGO

創新不僅限於設備級別
臺積電通向超過 300 B 晶體管的系統路線圖幻燈片 5
9 月 29 日 2021
魔獸世界:晶圓上的晶圓 CowOS:基板上晶圓上的芯片 HBM:3D 高速存儲器 RDL:Re 分佈層
SOC:片上系統 CoW:晶圓上芯片 FPGA:現場可編程網格陣列信息:集成 扇出 SoIc:採用 集成芯片的系統
> 300 btsmcsoicíi
晶體管
Info 150B
晶體管
CowOS
>50 B
15B 晶體管
晶體管
7B
晶體管
200 MOS 晶體管
幾個晶體管
3D FinFET 新通道材料
HKMG 2P2EEUV
浸入式 ElkMetal 氧化物 ESL
SiGe Low-R Barrier 自校準線,空間靈活
cu/Lowk Co Capliner 低 傷害/硬化 low-k 和新穎的 Cu 填充物
來源:Mark Liu,臺積電,《釋放創新的未來》ISSCC, 2021 年 2 月 15 日公開
5


LOGO

創新不僅限於設備級別
臺積電通向超過 300 B 晶體管的系統路線圖幻燈片 6
9 月 29 日 2021
魔獸世界:晶圓上的晶圓 CowOS:基板上晶圓上的芯片 HBM:3D 高速存儲器 RDL:Re 分佈層
SOC:片上系統 CoW:晶圓上芯片 FPGA:現場可編程網格陣列信息:集成 扇出 SoIc:採用 集成芯片的系統
設備擴展(包括鑄造供應鏈)tsmcSoicí
電路擴展(包括鑄造廠客户)
維度縮放 (包括光刻供應鏈)信息
鑄造廠客户的架構擴展
CowOS
芯片等級接近於
系統級別
3D FinFET 新通道材料
HKMG 2P2EEUV
浸入式 ElkMetal 氧化物 ESL
SiGe Low-R 屏障自對齊線路帶靈活空間
cu/Lowk Co Capliner 低損壞/硬化 low-k 和新穎的 Cu 填充物
來源:劉國強,臺積電,《釋放創新的未來》ISSCC,2021年2月15日公開
6


LOGO

摩爾定律的演變:未來十年
自 2005 年以來,時鐘頻率等傳統縮放指標已經飽和。幻燈片 7
2021 年 9 月 29 日
公共數據客户猜測
1020 投影
Dennard Post Dennard
1018 縮放比例
1016
1014
1012
1010
108
106
104 時鐘頻率1
[兆赫]
102
1
1970 1980 19902000201020202030
來源:¹Karl Rupp 發佈者:Shekar Bokar,高通公司,《計算的未來》 所謂的後摩爾法時代, 國際會議
用於高性能計算、網絡存儲和分析,2020 年 11 月 18 日。 公開
7


LOGO

摩爾定律的演變:未來十年
在這十年中,晶體管和光刻密度的縮放指標仍在繼續。幻燈片 8
2021 年 9 月 29 日
公共數據客户猜測
1020 投影
DennardPost Dennard
1018 縮放/縮放
1016
1014
1012
1010 晶體管密度2
[#/mm2]
108 設備和佈局
優化光刻密度2
106(聯繫人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 時鐘頻率1
[兆赫]
102
1
1970 1980 19902000201020202030
來源:¹Karl Rupp 2ASML 數據和使用 Rupp Public 的投影
8


LOGO

摩爾定律的演變:未來十年
綜合測量能量和時間效率的系統指標幻燈片 9
2021 年 9 月 29 日
定義為 的系統和設備的節能性能
[1/j.s]
如果應用於單台設備:
EEP = fc /e
fc = 時鐘頻率 [s-1]
e = 晶體管開關能量 [J]
使用 Dennard¹ 縮放模型,當維度 的縮放比例為 k-1,以 k 為單位的頻率,
區域有 k-² 和功率密度常數, 如下:
EEP 設備端級別可通過 k4 進行擴展
如果密度(~k2)每 2 年增加 2 倍,那麼 EEP(~k4)每 2 年增加 4 倍
1 資料來源:Robert H. Dennard 等人。物理尺寸非常小的離子注入 MOSFET 的設計,《IEEE 固態電路雜誌》,第 SC 卷,1973 年 10 月,pp。 256-268。公開
9


LOGO

摩爾定律的演變:未來十年
自 2005 年以來,設備節能性能增長一直處於飽和狀態幻燈片 10
2021 年 9 月 29 日
公共數據客户猜測
1020 投影
DennardPost 丹納德系統能源
1018 擴展/擴展高效性能3
[1/j.s]
1016 從晶體管到
系統擴展
1014 晶體管能量
高效性能2
1012 [[1/j.s]
1010 晶體管密度2
[#/mm2]
108 設備和佈局
優化光刻密度2
106(聯繫人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 時鐘頻率1
[兆赫]
102
1
1970 1980 199020002010202020302040
來源:¹Karl Rupp,2 ASML 數據和使用 Rupp Public 進行的預測
10


LOGO

摩爾定律的演變:未來十年
系統節能性能持續3年/2年增長至2040年幻燈片 11
2021 年 9 月 29 日
資料來源:臺積電,劉國強,《釋放創新的未來》ISSCC,2021年2月 15日。公開
11


LOGO

摩爾定律的演變:未來十年
從每個晶體管的成本到密度,再到系統的時間和能源成本幻燈片 12
2021 年 9 月 29 日
1020 Energy
1018 Performance3
1016
1014 or Energy
性能2
1012
1010 tor density2
108 設備和佈局
優化光刻密度2
106(聯繫人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 時鐘頻率1
[兆赫]
102
1
1970 1980 199020002010202020302040
資料來源:¹Karl Rupp,2ASML 數據和使用 Rupp 的投影,3Mark Liu,臺積電,在 2005 年標準化為晶體管 EEP。公開
12


LOGO

摩爾定律的演變:未來十年
擴展系統以滿足性能和能耗需求幻燈片 13
2021 年 9 月 29 日
1020
系統改進系統系統能量
高效性能3
1018 以晶體管縮放為主 [1/j.s]
1016 從晶體管到
系統擴展
1014 晶體管能量
高效性能2
1012 [[1/j.s]
1010 晶體管密度2
[#/mm2]
108 設備和佈局
優化光刻密度2
106(聯繫人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 時鐘頻率1
[兆赫]
102
1
1970 1980 199020002010202020302040
資料來源:¹Karl Rupp,2ASML 數據 和使用 Rupp 的投影,3Mark Liu,臺積電,在 2005 年標準化為晶體管 EEP。公開
13


LOGO

AMD 3D 芯片組提供了 3.1-3.8 深度改進
通過將內存與處理器集成在一個封裝中幻燈片 14
2021 年 9 月 29 日
功率降低 3 倍,
速度提高了 4-25%
結構硅
64MB 三級緩存 die
直接 銅轉銅債券
硅通孔 (TSV) 用於
硅到硅溝通
最多 8 核 Zen 3 CCD
加速生態系統,計算
14


LOGO

摩爾定律的演變:未來十年
擴展系統以滿足性能和能耗需求幻燈片 15
2021 年 9 月 29 日
公共數據客户猜測
1020 投影
系統改進系統 系統能量
高效性能3
1018 以 晶體管縮放為主 [1/j.s]
1016 從晶體管到
系統擴展
1014 晶體管能量
高效性能2
1012 [[1/j.s]
1010 晶體管密度2
[#/mm2]
108 設備和佈局
優化光刻密度2
106(聯繫人 Poly Pitch*Metal 音高) -1
[109/mm2]
104 時鐘頻率1
[兆赫]
102
1
1970 1980 199020002010202020302040
資料來源:¹Karl Rupp,2ASML 數據和使用 Rupp 的投影,3Mark Liu,臺積電,在 2005 年標準化為晶體管 EEP。公開
15


LOGO

在這十年中,光刻密度擴展仍在繼續
疊加和光學近距離校正誤差急劇縮小幻燈片 16
2021 年 9 月 29 日
每 6 年 2 次
資料來源:根據ASML推斷得出的平均客户路線圖2021年5月,根據2020年IRDS路線圖的平均值穆斯塔法·巴達羅格魯,
IRDS IFT More Moore 春季會議,IEEE,2020 年 4 月 21 日公開
16


LOGO

未來十年的內存路線圖
DRAM 擴展到 10 nm 以下,NAND 堆疊繼續大於 600 層幻燈片 17
2021 年 9 月 29 日
動態隨機存取信息
10 年後 nowChallenge1y 1z 1a1b1c1d0a
NAND
10 年後 nowChallenge>600 Layers
96 128 1762xx3xx4xx5xx6xx
資料來源:Sk hynix、S.H.Lee、Memorys 走向未來 ITC 世界的旅程、IEEE IRPS 2021 年 3 月 21 日公開
17


LOGO

通過技術投射光刻層
幻燈片 18
2021 年 9 月 29 日
KrF
邏輯層堆棧
5 nm 3 nm 2 nm~1.5 nm1 nm1 nm
KrF
DRAM EUV 高鈉
第 層堆棧
1A 1B 1C0A0BEUV
ArFi
ArF
kRf
KrF I-Line
三維NAND 層堆棧
176L 2xxL 3xxl4xxl5xxL
2021 ~2030
資料來源:ASML 企業戰略與營銷 估計
18


LOGO

通過技術投射光刻層
在 DUV 和 EUV 幻燈片 19 的推動下,光刻層數增加
9 月 29 日 2021
KrF
邏輯層堆棧
5 nm 3 nm 2 nm~1.5 nm1 nm1 nm
KrF
DRAM EUV 高鈉
第 層堆棧
1A 1B 1C0A0BEUV
DUV
KrF
3D NAND Layer 堆棧
176L 2xxL 3xxl4xxl5xxL
2021 ~2030
來源:ASML 企業戰略和市場營銷估算公開
19


LOGO

半導體和收縮路線圖:未來幾十年
幻燈片 20
2021 年 9 月 29 日
在接下來的十年中,系統擴展將繼續推動需求
10âµ
1962 年的 個先進的半導體解決方案中,光刻會縮水
組件 10á' 仍然是提高電路密度和成本的關鍵。
每 1965 年
成本 10³
10² 1970
製造 對 ASML 的影響
相對的 10 縮減路線圖需要創新來改善光刻
1、以更低的成本和更高的生產率獲得性能。
1 10 10² 10³10â´ 10âµ
每個集成電路的組件數量
我們繼續通過發展信任來捍衞我們的 方法
與客户的關係,提供更強大的整體產品。
20


LOGO

摩爾定律的演變和客户路線圖
ASML 的戰略優先事項
21


LOGO

ASML 的戰略優先事項
幻燈片 22
2021 年 9 月 29 日
加強 ¿增強執行能力,以提供性能和成本
客户信任和對客户需求的穩健性
Holistic litho 和 á在邊緣放置錯誤方面保持領先地位
應用程序
DUV á提高 DUV 的性能和市場份額
競爭力
EUV
工業化 ¿EUV 大批量生產性能、斜坡和支持
High-na á為未來的節點啟用光刻簡化功能
公眾
22


LOGO

我們的整體投資組合比以往任何時候都更加重要
帶幻燈片 23 的光刻掃描儀
2021 年 9 月 29 日
高級控制能力
蝕刻和
沉積工具
處理窗口處理窗口
預測和控制
增強
光學近距離校正 IeldStar 電子光束
計算光刻光學計量學
計算 計量學 電子束計量學
電子束檢測
處理窗口
檢測
公眾
23


LOGO

我們的整體投資組合比以往任何時候都更加重要
幻燈片 24
2021 年 9 月 29 日
EUV DUV
高 nA
應用程序
公眾
24


LOGO

應用:戰略方向
為光學和光學提供領先的解決方案 電子束計量和檢測幻燈片 25
應用程序 2021 年 9 月 29 日
客户價值 ASML 應用程序 產品路線圖
捕獲更多晶圓特徵以提高生產力
提高耐用性 晶圓上過程控制穩健的校準方案
納米
更嚴格的處理能力 單光束分辨率和應用
3¿ 6 西格瑪控制邊緣放置誤差控制
自由格式 OPC 和機器學習
優質晶片捕獲小 缺陷以獲得多波束分辨率
高級節點的每日產量計算引導檢測
單位成本
在固定的 生產率/多波束條件下進行更多測量
計量和檢驗預算 電子束平臺整合
OPC 精度、速度和用户友好性
更快 找到解決方案的時間到了
是時候實現收益了 單一過程控制平臺和分析
公眾
25


LOGO

電子束檢測具有固有的分辨率 優勢
使用多波束幻燈片 26 增加並行度來提高吞吐量
應用程序 2021 年 9 月 29 日
1000000 最小缺陷大小
2 nm 及以下節點
100000 光學
光明的領域
10000 檢查
1000 Gen 3 Multibeam (~2028)
增加了 100
[mm²/小時]吞吐量
啟用
另外 10 個 HvmGen 2 Multibeam(約 2024 年)
gput 應用程序
Throu 1
0.1 第 1 代 Multibeam (2021)
0.01 正在掃描
電子
顯微鏡
0.001 ImageSingle 電子光束(研發)
0.0001
60 40201086421
缺陷大小 [nm]公眾
26


LOGO

計量、檢驗和模式化控制路線圖
幻燈片 27
應用程序 2021 年 9 月 29 日
2020 2021 202220232024³ 2025
掃描儀接口
and Control 軟件增加掃描儀驅動力(DUV 和 EUV)、EPE 控制
疊加計量快速階段、多 波長、計算計量學、
YieldStar 設備內計量
e-beam ep5 0.1nm 精度、12umSingleField of viw、Beam9K V HLE(可選)高分辨率、largeeep6FieldHigh r 精度、視野、高 r 分辨率 ep7 下一代
計量學大規模計量學、EPE Metrologyep7xle
ep5xS 18.5keV 着陸能量 ep5xLE 30keV 着陸能量
50keV 着陸 能量
電子束缺陷
多波束檢測、快速精確的階段、高着陸能量、引導式檢測
計算提高了模型精度,逆向 OPC,
光刻機和深度學習、蝕刻模型
公眾
27


LOGO

下一篇:2050i 正在向客户批量生產
DUV 疊加效果提高 20%,可靠性和生產率更高 向上滑動幻燈片 28
2021 年 9 月 29 日
nxt: 2050i
配套機器專用卡盤
疊加層 ~1.2 nmoverlay ~0.8 nm
18 天內每天 6000 個 5,000 個晶片 200180 小時在 13 周內保持可靠性
180
5000 nxt: 2050i 160nxt: 2050I
更快的斜坡 140更高的可用性
4000
120
每天(小時)
3000 100
Wafers MTBI 80
2000
60
40
1000
20
0 0
1 2 3 4 5 6 7 8 10 11 12 13 14 16 17 18 19 20 21 22 23 nxt: 2000i1 2 3 4 5 6 7 8 9 1011 12 13 14 15 16 1718 19
完成安裝後的天數完成安裝後的幾周
nxt: 2050iPublic
28


LOGO

DUV:戰略方向
DUV 為高級功能和更高生產率提供領先的解決方案幻燈片 29
2021 年 9 月 29 日
客户價值 ASML DUV 產品路線圖
疊加改善疊加(穩定性),尤其是 nxt: 2100i,改進了光學和校準
用於與 EUV 相匹配
在 ,每天生產更多優質晶圓 通過以下方式提高浸入式生產率
可用性降低每片晶圓的成本更高的掃描速度
用於乾式光刻的 XT 到 NXT 過渡
已安裝 基礎為已安裝羣提供具有成本競爭力的生產力增強包服務
整個產品生命週期 Value 增加了服務解決方案,提高了可用性
節點性能
新 markets Mature XT 平臺的生產力和疊加性能以及特定應用選項
特定應用 為成熟市場擴展 i 系列產品組合(>40 納米)
晶圓廠更換解決方案
循環可持續產品和服務產品系統節點擴展包路線圖
經濟優化 重複使用以確保具有成本競爭力的供應
公眾
29


LOGO

支持所有細分市場的 DUV 產品組合
DUV 幻燈片 30
2021 年 9 月 29 日
長度 NA,半場 20202022022202220242025
在高級 nxt: 2050i NXT 平臺上繼續 Innovationnxt: 2000i,以提高 nxt: 2100i 成像、疊加效果和生產力下一頁
關鍵的
ArFi 1.35 NA,38 nm2.0 nm | 275wph1.5 nm | 295wph1.3 nm | 295wph
Leveragemidc itical of nxt: 1980DI 高級 NXT 平臺 fornxt: 1980EI 提高了工作效率 nxt: 1980Fi
2.5 nm | 275wph2.5 nm | 295wph2.5 nm | 330wph
XTXT: 1460K
arF 0.93 na,57MigrateNM 升級到高級 5 nm | 205wph nxTor 平臺 7.5 nm| 228wph 可改善成像、疊加效果和工作效率
NXT: 1470NEXT
NXT4 nm | 300wph
0.93 NA,80productivitynmxt: XT 平臺上漲了 1060K xt: 1060K + PEP
5 nm | 205wph5 nm | 220wph
KrF XTXT: 860MXT: 860N
生產率提高 7% nm** | 240 on-250wpHxt 平臺 7.5 nm | 260wph
0.80 NA,110 nm
遷移到高級 NXT 平臺以獲得 性能xt: 870 和工作效率下一頁
NXT7.5 nm | 330wph
i-line 0.65 na,220productivitynmxt: 400L 在 XT 平臺上有所提高,並遷移到下一個系統 xt: 400m 以供大批量應用程序使用 NEXT: 400M 下一頁
20 nm** | 230wph20 nm** | 250wph
27%34%30%
66%70%
公眾
30


LOGO

EUV 0.33 NA 的採用得益於平臺的成熟度
EUV 大批量製造幻燈片 31
2021 年 9 月 29 日
100%
3000 系統輸出
每天最大晶片(單系統,每週平均值)95%
2500 90%
已安裝基礎系統的可用性
第 4 天移動 平均值(期末)85%
2000
每 80%
1500 75% 的可用性
Wafers 70%
1000 65%
60%
500
55%
0 50%
2017 2018 201920202021
ASML 的承諾預計將使 EUV 的可用性超過 95%
併到 2025 年將晶圓的每日產量提高到 50%
來源:ASML 安裝基礎數據公開
31


LOGO

EUV:戰略方向
EUV 為高級節點提供經濟高效的擴展幻燈片 32
2021 年 9 月 29 日
利用 EUV ASML EUV 產品改進為客户創造價值
更好的設備性能:更簡單的技術路線圖:每個節點(分辨率),提高
設計和卓越的電氣成像、疊加和缺陷率(光罩和晶片)
納米(性能等級)
減少了滿足 fab 容量所需的工具
由於隨着時間的推移,吞吐量更高生產率路線圖:提高生產力
生產率提高到 >200wph,可用性提高到 97%
與關注改進子系統相比,關鍵層可節省模式化成本 :
替代方案(3 倍 ArFi 沉浸感和
以上)
好晶圓來源 (在線補充、更高功率、高反射鏡)
每天按成本計算由於減少了多個 反射鏡(鏡子加熱測量、冷卻反射鏡),產量更高
圖案層(最多 9%)
舞臺和標線(十字線加熱,高精度、快速)
階段,薄膜耐久性)
降低了工藝複雜性,從而實現了校準(# 標記、標記尺寸、晶圓夾牢固性)
循環時間和更短的學習週期以及更快的學習週期 Time-to-
上市時間收益率
公眾
32


LOGO

高 nA 可防止週期時間和過程複雜性增加
EUV 就像低 NA 一樣用於浸入幻燈片 33
9 月 29 日 2021
5 首選插入
EUVEUV
娜娜
3 --
u. ) 0.330.55
(a. 受撫養人) DUVEUV-0.33NAEUV-0.55NA
複雜性循環時間(乘積 3)
0.33-NA 插入支持單高 NA 插入機會
流程步驟、模式化以縮短循環時間繼續摩爾定律
週期時間增加的掩碼損失
2
備選替代方案
擬議基線擬議基線
1
10 1001,000
16nm 10nm 7nm5nm3nm2nm
晶體管密度 [mtr/mm²]節點 (等效的節點名稱) [nm]
注意:假設每個掩碼層 1.2 天 Public
33


LOGO

High-na EUV:戰略方向
EUV 為下一代高級節點提供經濟高效的擴展幻燈片 34
2021 年 9 月 29 日
客户價值 High-na EUV ASML 高 nA EUV 產品改進
0.55 NA 支持 1.7 倍小 的功能
並將密度提高2.9倍技術路線圖:每節點(分辨率),提高
納米成像、疊加和缺陷率(光罩和晶片)
級別)
更高的成像對比度使得 40%
隨着時間的推移, 本地 CDU 生產力路線圖的改進:提高生產力
性能將模式變異性 降低了 1.4 倍,達到 1.4 倍
較低的劑量
成功插入 critial Focus 可節省 15% 的模式化成本
我們的客户 players vs 替代品(2 倍 EUV)
優質晶片與現有 EUV 平臺的通用性有待降低
每 天每成本由於減少了多重技術風險、開發成本和交換成本,因此收益率更高
圖案層:客户收到的口罩 數量減少 35%
低於 2 nm 的處理節點
重點關注系統成熟度和可維護性以提供支持
降低了流程複雜性 ,使我們的客户對批量性能抱有很高的期望
週期、縮短 15% 的學習週期和更快的 儘早與我們的客户互動有待解決
是時候去 了市場獲得收益的時間生態系統就緒
公眾
34


LOGO

高 nA EUV 正處於實現階段
EUV 在多個 ASML 和供應商地點幻燈片 35
2021 年 9 月 29 日
德國奧伯科興光學系統製造 設施
費爾德霍芬,
荷蘭,
系統底部測試
EUV 0.55 NA 光學元件
法國土倫,框架銑削 Wilton,美國,系統頂級測試
公共
35


LOGO

預計EUV 0.55 NA將添加到EUV投資組合中
EUV 在 2025-2026 年實現高成交量,同時繼續改進 0.33 NA 平臺幻燈片 36
2021 年 9 月 29 日
2020 2021 202220232024³2025
0.33NA 連續成像,nxe: 3600dOverlay 和生產率提高 nxe: 3800E 在 系列 NXE: 4000F
向客户提供 advanced1.1 nmnode| 160HvMwph 要求。195 wph /220wph 220wph
EUV ASMLR&DHVM
0.55NA 可在當前十年之後實現經濟實惠的擴展
EXE: 5000EXE: 5000 EXE: 5200
在 ASML FabExe 平臺、EUV 0.55 NA NXE 平臺、EUV 0.33 NA
公眾
36


LOGO

ST 在 EUV、DUV 和 中的通用性高 na 平臺
幻燈片 37
TRU 允許更快、更具成本效益的創新、生產和維護 2021 年 9 月 29 日
DUV EUV
對準傳感器
常用技術水平傳感器
在 兩種計量學中均使用
DUV 和 EUV 平臺晶圓處理
NXT(乾燥 193 nm)
常用技術
用於
DUV 產品:NXT
NXT: 870 NXT: 1470 nxt: 2050I
EUV EUV 高鈉
對準傳感器源
液位 傳感器計量學
通用技術晶圓舞臺
在兩個 Retricle Stager 中都使用了
EUV 平臺晶圓處理
37


LOGO

ST 最大限度地提高客户每天的優質晶圓
幻燈片 38
TRU 接下來是要最大限度地減少系統停機時間 2021 年 9 月 29 日
100% 100%100%
系統停機系統停機服務
根據客户需求
標準化
定義 > 97% 特定流程效率低下
例如,系統停機才能開會
客户規格,圖層
系統停機後的資格認證,
缺陷監測及更多 > 90-95%
> 85-90%
系統正常運行時間系統正常運行時間產生
有能力 生產客户晶片
晶片
歷史服務模型:新服務模式:
最大限度地提高掃描儀 的可用性每天最大限度地提高優質晶圓
公眾
38


LOGO

ST EUV 是最節能的解決方案
幻燈片 39
TRU 我們預計比 替代工藝淨節能 45% 以上 2021 年 9 月 29 日
EUV 0.33EUV 0.55
降低電力 降低電力功率
沉浸式體驗到 EUV 0.33 的生產力 [wph]220 wph 時 EUV 0.33 至 EUV 0.55
側壁輔助乾燥技術
四極杆 模式化 ArFi
euvlito-etch-Litho-Etch 0.33 NA-46%
100 wph-45% 金屬化
metrologyLitho-Etch 0.55 NA
145 wph 沉積
(今天) 05101520
wetTech
220 wph
(2025)
0 5 101520
資料來源:Sri Samavedam a.o.,IMEC,《邏輯擴展的未來:邁向原子通道和解構芯片》,IEDM,2020年12月,由ASML擴展。 公開
39


LOGO

技術策略
整體光刻路線圖由我們的獨特之處驅動
關鍵信息 通過Slide 40為客户帶來價值的模式化控制解決方案
2021 年 9 月 29 日
提高了產品性能。
摩爾定律還活得很好!行業 創新 ASML 的全面產品組合符合我們的
繼續,在系統擴展的推動下,提供高價值 的客户路線圖,提供具有成本效益的支持解決方案
半導體產品。在所有 個應用中,從前沿節點到成熟節點
半導體系統擴展實現指數級增長我們的下一代 EUV 技術, 高 nA,正在取得進展
性能改善和能耗降低,以支持 well ,並將成為推動光刻路線圖進入的引擎
數據交換的顯著增長。未來十年
客户路線圖需要持續縮減規模,而持續執行我們的戰略優先事項有望實現
減少邊緣佈局誤差以實現可承受的擴展,為我們的客户提供具有成本效益的解決方案,使
進入下一個十年。將行業路線圖延長到下一個十年,以及
支持我們的長期可持續發展承諾
40


LOGO

前瞻性陳述
幻燈片 41
2021 年 9 月 29 日
本演示文稿包含前瞻性陳述,包括有關預期的行業和商業環境趨勢 的陳述,包括預期增長、前景和預期財務業績,包括預期的淨銷售額、毛利率、研發成本、銷售和收購成本和有效税率、2025 年的年度收入機會、2025 年的 財務模型以及假設和預期增長率及驅動因素、包括2020-2025年和2020-2030年增長率在內的預期增長、潛在市場總額、2025年以後的增長機會以及預期光刻、計量和檢測系統的年增長率 以及安裝量管理的預期年增長率、到2030年的潛在市場的預期趨勢、邏輯和存儲器收入 機會的預期趨勢、長期增長機會和展望、需求和需求驅動因素的預期趨勢、系統和應用的預期收益和性能、半導體終端市場趨勢、 半導體行業的預期增長,包括預期的需求增長和未來的資本支出年份,預期晶圓需求增長和晶圓產能投資、預期的光刻市場需求和增長 和支出、增長機會和驅動力、EUV 和 DUV 需求的預期趨勢、銷售、前景、路線圖、機會和產能增長以及預期的 EUV 採用率、盈利能力、可用性、生產率和產量 以及預計的晶圓需求和價值改善、應用業務的預期趨勢、包括預期收入和目標利潤率在內的客户羣管理的預期趨勢,預期 的趨勢和增長機會應用程序業務,對以下方面的期望 High-na,對產能增加、計劃、戰略和戰略 優先事項和方向的預期,增加產能、產量和產量以滿足需求的期望,對摩爾定律繼續發展的期望,以及摩爾定律的演變、產品、技術和客户路線圖, 以及有關資本配置政策、分紅和股票回購的聲明和意向,包括打算繼續通過股份組合向股東返還大量現金 回購和年化增長有關ESG承諾、可持續發展戰略、目標、舉措和里程碑的分紅和聲明。通常,您可以通過 使用 “可能”、“將”、“應該”、“預測”、“相信”、“預期”、“計劃”、“估計”、“預測”、“潛力”、“打算”、“繼續”、“目標”、“目標” 等詞語或類似詞語的變體來識別這些陳述。這些陳述不是 歷史事實,而是基於當前對我們業務和未來財務業績的預期、估計、假設和預測,讀者不應過分依賴它們。前瞻性陳述不能保證未來的表現,涉及許多已知和未知的重大風險和不確定性。除 限制外,這些風險和不確定性包括經濟狀況;產品需求和半導體設備行業產能、半導體的全球需求和製造產能利用率、半導體 終端市場趨勢、總體經濟狀況對消費者信心和客户產品需求的影響、我們系統的性能、COVID-19 疫情和為遏制疫情而採取的措施對全球經濟和金融市場以及ASML及其客户和供應商的影響,以及其他可能影響 ASML 銷售和毛利率的因素,包括客户需求和ASML獲得產品供應的能力、研發計劃和技術進步的成功、新產品開發的步伐以及 客户對新產品的接受和需求、產能以及我們提高滿足需求能力的能力、訂購、發貨和確認收入的系統的數量和時間、 以及取消或推送訂單的風險我們的系統的生產能力不足,包括系統延遲的風險生產和供應鏈能力、限制、短缺和中斷、 半導體行業的趨勢、我們執行專利和保護知識產權的能力以及知識產權爭議和訴訟的結果、原材料、關鍵製造 設備和合格員工的可用性以及勞動力市場的趨勢、地緣政治因素、貿易環境;進出口和國家安全法規和命令及其對我們的影響、實現可持續發展 目標的能力、變化交換和税率、可用流動性和流動性要求、我們的債務再融資能力、可用現金和可分配儲備金以及影響股息支付和股票回購的其他因素 、股票回購計劃的結果以及ASML截至2020年12月31日止年度的 20-F表年度報告以及向美國證券交易委員會提交和提交的其他文件和提交給美國證券交易委員會的其他文件中指出的風險因素中指出的其他風險。這些前瞻性陳述僅在本文件發佈之日 作出。除非 法律要求,否則我們沒有義務在本報告發布之日之後更新任何前瞻性陳述,也沒有義務使此類陳述與實際業績或修訂後的預期保持一致。公開
41


LOGO

ASML 閒聊 2021 42