2021年,與新冠肺炎相關的旅行限制和其他緩解措施在很大程度上繼續限制了我們的面對面互動。我們的客户團隊迅速適應,引入了替代解決方案,例如更多本地客户之聲計劃和遠程客户訪談。當地客户和支持團隊拜訪了我們的客户,通過視頻採訪了他們,然後與ASML的團隊分享了反饋。除了有大量觀眾的現場演示外,我們能夠堅持全年定期的互動時間表。另一個有價值的客户反饋工具是我們每兩年一次的客户反饋調查,它要求我們的客户對我們的表現進行評級。我們也利用這個機會收集開放的反饋意見。直接評級和坦率的評論為客户的成功和挑戰提供了寶貴的洞察力。我們仔細分析每個客户的結果,與客户核對我們獲得的洞察力,然後與他們一起制定有針對性的持續改進計劃,並考慮到他們的優先事項。這一過程的關鍵要素是:真正瞭解客户對我們的需求,確認我們的改進是正確的,並定期向客户通報進展情況。2021年,我們繼續部署2020年調查結果確定的改善行動。下一次調查將於2022年9月發出。我們還為自己設定了一個目標,即在大型半導體設備供應商中躋身VLSI前三名。VLSI研究年度客户滿意度調查基於三個關鍵因素對整個半導體行業的供應商表現進行基準:供應商表現、客户服務和產品表現。我們在2021年VLSI研究客户滿意度排行榜上升至第二位,該排行榜被評為十大最佳芯片製造設備供應商。我們一直保持着在“大型芯片製造設備供應商”和前三大單項中的地位:“最佳芯片製造設備供應商”、“晶圓到基礎芯片製造商”和“晶圓製造設備到專用芯片製造商”。根據我們的業務戰略,我們在2021年繼續努力確保我們的完整產品組合,這將使我們的公司持續到未來。這包括與我們的客户合作,在大批量製造環境中更多地採用EUV,與我們的客户合作推出EUV 0.55 NA平臺,確保我們的產品在成熟市場的安全,並優化我們客户的安裝基礎。我們的產品組合與行業趨勢和客户詳細的產品路線圖保持一致,這需要光刻解決方案。我們的客户正在通過投資我們的最新技術來顯示他們對我們的信任,支持行業驅動力在當前十年之後收縮。


ASML年度報告2021年22我們的產品和服務半導體行業是由可承受的規模(以合適的價格製造更小、更節能的晶體管的能力)推動的。我們的整體光刻產品組合致力於實現遠超當前十年的光刻收縮,使我們的客户能夠在每片硅片上產生最大價值。從最先進的13.5 nm EUV波長到193 nm、248 nm和365 nm的業界主流DUV波長,我們的整體產品組合為每一種可能的波長提供圖案化解決方案。這一全面的產品組合支持整個半導體行業的客户,從大規模生產先進的邏輯和存儲芯片,到創造新的“比摩爾更多”的應用或具有成本效益的成熟芯片技術製造。為了確保集成電路上的每個獨立圖案都能完美連接,我們通過我們的計量和檢測系統以及計算光刻解決方案提供先進的工藝控制解決方案。此外,我們還為不斷增長的客户羣提供一流的客户支持。我們高度差異化的解決方案為我們的客户和ASML提供獨特的價值驅動因素,共同努力使經濟實惠的收縮持續到下一個十年。極紫外(EUV)光刻系統二十多年前,我們開始開發EUV技術。自開始以來,我們在研發上投入了數十億美元,收購了Cmer以加速EUV源技術,並幫助解決了幾個技術挑戰,使我們的客户能夠實現大規模製造所需的EUV基礎設施。我們通過與客户和供應商密切合作進行創新而取得成功。這部分解釋了為什麼ASML是世界上唯一的EUV光刻系統製造商。自推出以來,我們安裝的EUV到2021年底生產了超過5900萬個晶圓,而到2020年底生產了2600萬個晶圓。EUV 0.33 NA我們的EUV平臺通過提供分辨率改進、最先進的覆蓋性能和逐年降低的成本,擴展了我們客户的邏輯和內存路線圖。極紫外光刻使用的光波長僅為13.5納米,數值孔徑為0.33。這與先進芯片製造中使用的下一種最先進的光刻解決方案--採用193 nm光的深紫外線(DUV)氟化氬(ARF)光刻相比,波長縮短了近15倍。這使我們的客户可以在一次曝光中使用EUV,而不是通過ARF浸泡來複雜的多個圖案化策略,並允許他們進一步縮小微芯片結構。我們的EUV產品路線圖旨在推動經濟實惠的擴展到2030年及以後。TWINSCAN NXE:3600D是我們最新一代的EUV 0.33 nA光刻系統。它結合了最高的分辨率,與其前身TWINSCAN NXE:3400C相比,生產率提高了15%-20%,覆蓋性能提高了約30%,同時還提高了系統可用性。TWINSCAN NXE:3600D EUV 0.55 NA(高NA)經過五年的工程設計,我們已經開始建造下一代EUV光刻系統,與我們目前EUV平臺的0.33 NA相比,該系統可以進一步提高分辨率,具有更高的數值孔徑(NA)0.55。為了降低技術引進風險和研發成本,EUV 0.55 NA(High-NA)平臺最大限度地提高了與EUV 0.33 NA平臺的通用性。我們的EUV 0.55 NA系統(稱為EXE:5000)的功能可簡化未來節點的光刻,提高Logic和DRAM的良率並降低缺陷密度,從而為我們的客户帶來可觀的好處。憑藉其更大的光學元件,它可以以更高的密度打印更小的特徵,顯著降低客户的圖案化成本。與0.33 nA相比,EUV 0.55 nA幫助我們的客户延長了收縮路線圖,最大限度地減少了雙重或三重圖案,從而降低了圖案複雜性、降低了缺陷風險和縮短了週期時間。我們相信,這項技術將在未來十年實現可承受的幾何擴展,因為EUV 0.55 NA提供了更高的分辨率,與EUV 0.33 NA相比,它能夠實現1.7倍的小特徵和2.9倍的密度增加。EUV 0.55 NA預計將在2025-2026年進入我們客户的大批量生產。


ASML年度報告2021年23深紫外線(DUV)光刻系統DUV光刻系統是行業的主力。DUV系統支持眾多細分市場,目前生產客户設備中的大部分層,並將對未來的設備保持重要地位。我們為半導體行業目前使用的所有DUV波長提供浸入式和乾式光刻解決方案-使用365 nm波長的I-line、使用248 nm的KrF和使用193 nm的ARF。這些系統有助於製造廣泛的半導體節點和技術,並支持行業的低成本和高能效擴展。我們的DUV浸入式和乾式系統在生產率、成像和覆蓋性能方面處於行業領先地位,可結合EUV大規模製造最先進的邏輯和存儲芯片,同時繼續為成熟節點和小批量應用提供價值。浸漬系統ARF浸漬光刻在透鏡和晶片之間保持了一層薄薄的水,增加了NA並提高了分辨率以支持進一步收縮。我們的浸漬系統適用於單次曝光和多重圖案化光刻,並可與EUV系統無縫結合使用,以打印同一芯片的不同層。TWINSCAN NXT:2050i是我們目前最先進的浸入式系統,正用於5 nm Logic和第四代10 nm DRAM節點的大批量製造。NXT:2050i基於新版本的NXT平臺,其中包括在掩模版平臺、晶片平臺、投影透鏡和曝光激光方面的新發展。多虧了這些創新,該系統提供了比其前身更好的覆蓋控制和更高的生產率。TWINSCAN NXT:2050i乾燥系統並不是芯片上的每一層都一定需要最新和最好的浸入式光刻系統來生產它們。可能會有更復雜的層是使用更先進的光刻系統製作的,但其餘的層通常可以使用乾式光刻系統等較老的技術進行印刷。我們的乾式系統產品組合為我們的客户提供了所有類型波長的更具成本效益的解決方案。TWINSCAN NXT:1470是我們最新的乾式ARF光刻系統,提供創紀錄的每小時300片晶圓生產率和4 nm覆蓋能力。它也是第一個乾式NXT系統,建立在我們成功的浸入式平臺上,並在匹配的機器覆蓋、生產率和製造空間方面提供改進。擁有0.80NA的TWINSCAN XT:860N是我們的新一代KRF系統,支持在110 nm及以下分辨率下大批量生產200 mm和300 mm晶圓。XT:860N配備了新的大範圍液位傳感器,允許客户測量高拓撲3D NAND晶片,生產率從XT:860M的每小時240片提高到260片。對於更關鍵的KrF層,0.93NA TWINSCAN XT:1060K是我們最先進的KrF光刻系統,在80 nm及以下的分辨率和覆蓋範圍內提供同類最佳的分辨率。XT:860N TWINSCAN XT:400L是我們最新的i-line光刻系統,可打印分辨率為220 nm的200 mm和300 mm晶片。在EUV之前,在沉浸之前,甚至在我們的TWINSCAN系統之前,就有了PAS。1991年,也就是公司成立七年後,我們推出了PAS 5500,這被證明是我們的突破性平臺。該系統能夠極大地減少我們客户的製造時間,其模塊化設計使他們能夠使用同一系統生產多代先進芯片。


ASML年度報告2021年24我們的翻新產品業務,即成熟的產品和服務(MPS),翻新和升級我們的舊光刻系統,以延長其使用壽命並提供相關服務。MPS的客户基礎廣泛,在各個市場都很活躍,尤其是在“不止摩爾”領域。ASML系統具有非常長的運行壽命,這往往超出了它們在最初客户中的作用。因此,許多客户能夠通過出售不再需要的系統來創造價值。為了支持這種可持續的產品使用,並確保二手系統提供ASML所代表的質量,ASML通過我們的翻新和相關服務積極參與二手系統市場。ASML銷售的PAS系統中有90%以上仍在使用中。我們提供PAS 5500和第一代AT、XT和NXT系統的翻新系統。通過我們的翻新和相關服務,我們延長了客户安裝基礎的壽命,從他們的資本中獲得價值,併為可持續的產品使用做出貢獻。更多內容請閲讀:我們在2021年的表現-環境-循環經濟-通過翻新回收成熟的產品。計量和檢測系統我們的計量和檢測系統使芯片製造商能夠測量他們實際打印在晶片上的圖案,以確定它們與預期圖案的匹配程度。我們的產品組合涵蓋了將芯片推向市場的每個階段,從研發到批量生產,以及製造過程的每個步驟--使他們能夠評估整個過程的性能。該系統通過我們的工藝控制解決方案提供創建自動控制迴路的速度和精度,優化每次曝光的光刻系統設置,以減少邊緣放置誤差(EPE),擴大工藝窗口,並在大規模生產中實現最高產量和最佳性能。光學計量我們的YeldStar光學計量解決方案使芯片製造商能夠通過快速準確的重疊測量,評估批量生產的晶圓上圖案的質量。疊加層,即芯片的一層與前一層對齊的程度,是光刻性能的重要衡量標準,也是EPE的關鍵貢獻。隨着微芯片上的結構越來越小,覆蓋層和EPE變得越來越重要。YEELDSTAR 385H提供最新的抗蝕劑光刻後(蝕刻前)覆蓋和聚焦測量,具有更高的吞吐量和精度。與以前的系統相比,主要的增強包括更快的階段和更快的波長變化。這使得能夠使用多個波長進行高度精確的覆蓋測量和工具匹配,而不會影響吞吐量。我們的最新型號是YIELDSTAR 1385H,能夠測量蝕刻後器件圖案,從而為我們的客户提供更廣泛的良率控制能力。與前一型號的YeldStar 1375F相比,YeldStar 1385H具有更高的精確度和約50%的生產率改進能力。YeldStar 1385H是市場上用於快速、準確的器件內覆蓋和測量的光學工具,具有一次測量多層的能力,幫助客户通過蝕刻後工藝控制提高產量。YeldStar 1385H電子束測量和檢測我們的HMI電子束解決方案允許客户在數十億個印刷特徵中定位和分析單個芯片缺陷,從而擴展了過程控制的可能性。從歷史上看,電子束解決方案太慢,無法監控批量生產過程。然而,ASML在增加電子束系統吞吐量的各種方法方面取得了進展。憑藉被廣泛採用的單波束平臺,ASML繼續擴大在電壓對比檢測和物理缺陷檢測方面的市場領先地位。ESCAN 430是我們最新的單光束檢測系統,在邏輯、DRAM和3D NAND等各種應用中提供超過35%的吞吐量提升。我們的高分辨率電子束測量系統eP5提供世界級的1 nm分辨率和大視場能力,速度是現有技術的10倍以上。它輸出大容量的關鍵尺寸(CD)和邊緣放置誤差(EPE)數據,並具有客户監控所需的質量級別。隨着設計規則的縮小和EUV光刻技術的採用,EPE對器件圖案化和成品率變得更加關鍵。我們還在eP5上發佈了EPE計量應用軟件產品。它能夠在設備上進行局部和全局EPE測量,包括層內和層間。


ASML年報2021年25一年前,我們推出了突破性的多光束檢測工具HMI escan 1000,具有3x3圖像,但我們的創新並未停止。我們將下一代HMI ESCAN 1100添加到我們的產品組合中。通過5x5的圖像,它展示了成功的多光束操作,同時用25束掃描。5x5系統在檢測電壓對比度缺陷和物理缺陷方面具有更高的靈敏度,同時顯著增加了檢測吞吐量。在這個階段,我們的客户正在評估我們的多波束系統。計算光刻我們的計算光刻解決方案用於新芯片的開發,以優化掩模圖案和光刻系統的設置,以確保提供高良率的堅固、可製造的設計。計算光刻解決方案的洞察力也越來越多地被用於指導計量和檢測,從而提高了生產能力,並在大批量製造中實現了更精確的工藝監控。這些產品基於對光刻系統和工藝的精確計算機模擬,代表了各種物理和化學效果。機器學習技術也越來越多地被用於進一步加快開發速度。我們正在不斷開發我們的計算光刻技術,以增加模型的範圍和精度,並減少計算時間和成本。有關更多產品詳情和規格,請訪問www.asml.com。管理我們的已安裝系統ASML系統的安裝基礎繼續增長,許多系統在新的市場和應用程序的新所有者那裏找到了第二次甚至第三次生命。為了向所有客户提供儘可能最佳的價值主張,我們提供廣泛的客户羣管理產品組合,包括廣泛的服務和升級選項。我們開發和銷售產品選項和增強功能,旨在提高吞吐量、圖案化性能和疊層。通過現場升級包,可以將較舊的系統升級到現場改進的型號。這使客户能夠在系統的整個生命週期內優化其擁有成本。客户支持我們為客户提供廣泛的應用程序、服務和技術支持產品,以維護和提高我們系統的性能。我們有近7,000名客户支持員工,他們致力於確保我們客户的FAB中的系統以最高水平的可預測性和可用性運行。我們提供全天候支持、次日部件交付、簡單、集中的客户門户,以及對客户工程師的培訓。2021年,我們的客户支持組織提供了近500萬小時的客户支持,高於2020年的4,500,000小時。ESCAN 1100系統和過程控制我們的系統和過程控制軟件產品使自動化控制迴路能夠保持光刻工藝的最佳運行。使用強大的算法,他們分析計量和檢驗數據,並計算可反饋到光刻系統的每一次曝光的必要修正,以最大限度地減少後續晶片批次中的邊緣放置誤差。通過這種方式,它們能夠以最高的產量和性能創造出更先進的微芯片。我們的系統和過程控制路線圖旨在利用我們光刻系統的巨大靈活性,並應用更強大的具有高階校正的算法,以支持我們客户自己的路線圖,以提高EPE性能。


ASML年度報告2021年26我們在半導體價值鏈中的地位


ASML年度報告2021年27我們的市場我們的客户是世界領先的微芯片製造商,我們的成功與他們的成功密不可分。我們根據他們的投入設計我們的機器,致力於幫助他們實現他們的技術和成本路線圖,並共同努力確保我們的機器在他們的工廠順利運行。我們的客户可以分為內存芯片製造商和邏輯芯片製造商。內存芯片可以在非常小的區域內存儲大量數據。它們被用於越來越多的電子產品,如服務器、數據中心、智能手機、高性能計算、汽車或個人計算機以及其他通信設備。存儲器主要有兩類:NAND和DRAM。有了NAND芯片,即使在設備斷電的情況下,數據也可以存儲。DRAM存儲器用於有效地向處理器提供數據。這些DRAM和NAND芯片通常是在專用存儲芯片工廠生產的。在電子設備中處理信息的邏輯芯片由兩組製造商生產。第一類被稱為集成設備製造商(IDM),設計和製造邏輯芯片。第二類是代工製造商,被稱為代工廠。代工製造商為“無工廠”公司生產芯片,這些公司只專注於芯片設計和分銷,而不是自己製造微芯片。邏輯芯片和內存芯片在複雜性和容量上都可能有很大差異。例如,最先進的芯片正在為人工智能(AI)、大數據和汽車技術的前沿技術提供動力,而更簡單、低成本的芯片正在將傳感功能整合到日常技術中,以創造一個巨大的物聯網。芯片市場(全球半導體收入)在過去20年中平均每年增長5%,預計將進一步增長。推動這種增長的因素已經發生了根本性的變化。在20世紀90年代,個人電腦(PC),包括臺式機和後來的筆記本電腦,推動了芯片需求。在本世紀頭十年,市場驅動力從個人電腦演變到智能手機。這些反過來又產生了新的市場驅動力、數據中心和(EDGE)雲解決方案,在這些解決方案中,來自PC和智能手機的數據通過廣泛使用專門的邏輯芯片與DRAM、NAND和HDD存儲相結合來路由、處理和存儲。


ASML年度報告2021年28半導體行業趨勢和機遇技術正在快速發展,下一級計算正在曙光中。移動計算時代--你可以隨身攜帶電腦--正在向沉浸式“無處不在的計算”發展,無論你走到哪裏,計算能力都可以隨時隨地使用。向無處不在計算的過渡是由所謂的人工智能物聯網(AIoT)實現的。AIoT是一個智能的互聯設備網絡,通過強大的5G網絡無縫通信,讓我們比以往任何時候都更好、更快地釋放數據的力量。人工智能(AI)技術與物聯網(IoT)基礎設施的這種結合將實現更高效的物聯網運營,改善人機交互,並增強數據管理和分析。在5G的推動下,隨着AI和IoT日益交織在一起,AIoT的潛力將逐漸打開。人們可以訪問的海量數據以及由此提供的洞察力,將推動半導體業務的增長和數字轉型。目前大約有400億臺聯網設備在使用中,而且每秒鐘都在增加更多的設備。預計到2030年,這一數字將增加到3500億台。根據外部研究,到2025年,互聯的物聯網設備預計每年將創造高達175 ZB(澤字節)的數據。換句話説,1 ZB(1021字節)相當於1萬億GB,以目前的平均互聯網連接速度下載175 ZB的數據需要一個人18億年的時間。隨着我們走向“邊緣”計算,這些大數據將需要成為快速數據,以支持無處不在的計算。在“邊緣”計算中,處理過程將盡可能靠近數據源,而不是在雲中。半導體計算趨勢摩爾定律是半導體行業的指導原則,推動該行業從移動計算向無所不在的計算過渡。這一轉變繼續擴大,促進了計算的三大趨勢:應用程序、數據和算法。半導體產業市場機遇2020年,全球生產了超過9530億塊芯片,造就了一個價值4400億美元的產業。2021年,半導體行業的芯片產量超過1.1萬億片,市場規模達到5900億美元。增長勢必持續,市場分析師預測,到2025年,該行業的市場規模可能達到近7000億美元。半導體技術在塑造互聯和智能網絡的未來方面發揮着至關重要的作用,終端市場也在持續增長。下面的概述顯示了基於外部研究對整個行業當前市場規模和市場機會的展望。市場關鍵驅動因素2020市場規模(十億美元)2025年市場機會(十億美元)2030年估計(10億美元)Outlook CAGR 2020-2025年(%)之前的Outlook CAGR 2019-2024年(%)智能手機繼續更新所有半導體內容,包括圖像傳感器116 162 210 7.0%7.9%個人計算高端計算和內存,快速轉換到SSD 100 121 132 3.9%2.8%消費電子傳統產品和封裝IC,附加組件中的高級IC 48 74 98 8.8%7.7%汽車IC內容強勁增長:GPU、傳感器、V2X通信感應39 82 131 16.3%9.5%工業電子用於大數據和傳感器的人工智能高端計算50 82 119 10.5%7.8%有線和無線基礎架構設備,用於快速數據處理、調制解調器、基站基礎架構更新38 53 63 7.0%5.5%服務器、數據中心和存儲高速處理器和內存增長,硬件加速,包括GPU 76 119 187 9.2%10.6%466 693 940 8.2%7.3%1.ASML使用‘15-’25複合年增長率(CAGR)將數據外推至2030年


ASML年度報告2021年29混合現實結合了增強現實和虛擬現實技術,將把現實世界和數字元素結合在一起,創造下一級的用户體驗,具有潛在的教育和培訓、醫療保健和娛樂應用。自主機器人安裝了智能傳感器的新一代輕型機器人使人類和機器能夠密切和安全地合作。連接到更大網絡的智能機器人可以受益於大數據和集體學習,從而有可能降低製造成本和提高產品質量。可穿戴設備(如健身跟蹤器,以及智能手錶、珠寶或眼鏡)能夠連接到互聯網,並可以持續監控、跟蹤和傳輸個人數據。應用包括健身、健康監測和娛樂。算法應用程序數據摩爾定律性能成本能效應用程序·自主決策·身臨其境的分辨率·設備人工智能·虛擬/增強現實數據·連接性·實時延遲·不斷增長的數據量算法·從大數據到價值·增強的處理·深度學習5G連接5G實現了一種旨在將幾乎所有人和萬物(包括機器、對象和設備)連接在一起的新型網絡。它支持新的用户體驗並連接新的行業。自動駕駛汽車這些輪子上的超級計算機是由電子和半導體實現的。自動駕駛汽車提供了先進的駕駛員輔助系統(ADA),幫助人們更安全地駕駛並減少事故。對來自多個來源的健康數據進行預測性醫療預測分析有助於改善醫療服務和患者結果。機器學習和人工智能在醫療保健領域的結合可以提高診斷的速度和準確性,防止危急情況,並實現個性化護理。智能工業智能工業設備使用實時數據分析和機器對機器傳感器來優化流程。這些設備產生的數據有助於預見瓶頸,防止錯誤和傷害。應用:自主製造機器人、自動化供應鏈管理、預測傳感器。利用技術和數字網絡整合服務的智慧城市。應用:通過智能交通監控,為更好的城市規劃、優化能源消耗和提高公共安全提供開放數據。智能家居智能家居設備,如恆温器、燈和智能電視,可以學習用户的習慣,為日常任務提供自動化支持。應用:能源效率、安全、娛樂、門禁和福利。


ASML年度報告2021年30半導體行業動態幾個因素正在塑造半導體行業格局。這些是推動行業發展的一些主要趨勢,無論是現在還是未來。消費者需求上升通過互聯設備將無線通信、電信、媒體和雲融合在一起,繼續推動全球對先進半導體的需求。不斷增長的人口和城市化正在創造對先進消費電子設備日益增長的需求。微芯片是這些設備的核心。新興技術的顯著增長動力要求專門為一波新應用設計的新的和先進的芯片。更多內容請閲讀:半導體行業趨勢、機遇和客户親密度。全球對人才的爭奪具有技術背景的高技能人才在勞動力市場上稀缺,競爭日益激烈。頂尖人才選擇他們選擇的僱主,而不是反過來。隨着該行業爭奪一小批具備開發創新解決方案技能的科學家、工程師和軟件開發人員,全球人才競賽正變得更加關鍵。企業正試圖為增長而增加員工,但高科技資源池很淺。STEM職位的數量預計將大幅增長,但鑑於合格候選人的短缺,填補這些職位是具有挑戰性的。留住人才對科技公司來説已變得至關重要。更多內容請閲讀:我們的人民。全球地緣政治當前的貿易環境對全球半導體行業構成重大挑戰,貿易緊張和保護主義加劇的局面可能會持續下去。全球大流行提醒世界各國政府,全球供應鏈可能造成對服務、原材料和終端產品的嚴重地理依賴。半導體在大型工業綜合體的發展和連續性中發揮着越來越重要的作用,半導體行業的重要性可能只會增加。各國政府已將注意力轉向確保充足的半導體供應,以支持本國產業,創造更高水平的技術主權,並計劃在半導體行業進行重大投資。該行業正被迫管理交易成本。最終,這可能會轉嫁到終端市場,導致設備價格上漲。除了金融影響外,貿易緊張和保護主義還在整個供應鏈及其流程中帶來了顯著的複雜性。這迫使該行業重新審視其全球供應鏈。更多內容請閲讀:我們的供應鏈,我們如何管理風險和風險因素。在快速發展的半導體行業擴大研發投資,獲得最新技術、芯片設計和製造工藝是競爭的基礎。研發是一項越來越重要的優先事項和開支。芯片製造商面臨的支持應用和終端市場正變得越來越複雜。由於科技平臺公司的崛起轉向內部芯片設計,傳統半導體公司面臨着多樣化投資組合的挑戰。此外,執行創新的增量成本正在上升,需要更高水平的研發投資才能實現同樣的目標。將產品更快地推向市場至關重要,否則芯片製造商可能會錯失良機。因此,及早向客户提供解決方案的壓力越來越大。更多內容請閲讀:創新生態系統、風險因素和財務業績。為了利用AI、物聯網、5G和自動駕駛汽車等大趨勢的融合,該行業正在向能夠在整個投資組合中釋放價值的資產投資大量資金。近年來,全球半導體產業呈現出巨大的增長,預計這種增長將繼續下去。該行業正在重新關注增加規模和核心能力的熟練程度,以及向新能力和新市場擴張。合併、收購和合資預計將是芯片市場戰略的關鍵部分,交易重點是新興技術。更多內容請閲讀:半導體行業趨勢和機遇、我們的供應鏈和風險因素。對氣候變化採取行動氣候變化是全世界的當務之急。這是一項全球挑戰,需要全球責任將氣温上升控制在遠低於2攝氏度的水平。該行業可以發揮作用。半導體制造過程消耗了大量的能源和水資源。在推動摩爾定律的同時,使計算能力和存儲能力得以提高的同時,也推動了對這些資源的需求。為了提高能源和水資源的效率,將需要新的架構和看待整個生態系統的新方式。為了迎接這些挑戰,半導體行業必須降低功耗。更多內容請閲讀:氣候和能源。


ASML年度報告2021 31 SWOT分析根據半導體行業和社會的全球趨勢和發展,是我們業務成功的重要因素,也是為我們的利益相關者創造價值的重要因素。利用這些外部和內部因素,以及當前和未來的潛力,我們評估了我們公司在我們所處的環境中的競爭地位。下表簡要概述了我們的優勢、劣勢、機會和威脅(SWOT)。有關我們如何管理該主題的更多信息,請參閲參考部分。優勢+劣勢-·技術領先地位(閲讀更多信息:我們的產品和服務、創新生態系統)·市場領先地位(閲讀更多信息:我們的產品和服務、我們的市場、客户親密度)·協作與持久的創新(閲讀更多信息:創新生態系統)·世界級的員工,具有‘能幹’的心態(閲讀更多信息:我們的核心價值觀、我們的員工)·強大的財務狀況(閲讀更多信息:2021年亮點,財務業績)·支持快速增長的資源和流程的成熟度(閲讀更多信息:我們的員工,我們如何管理風險)·有限的成本領先優勢(請參閲:卓越運營、CFO財務審查、我們如何管理風險)·我們產品和技術的日益複雜(請參閲:我們如何管理風險)機會?威脅?·駕馭技術大趨勢(請參閲:半導體行業趨勢和機遇,我們的戰略)·整體光刻產品組合擴展(請參閲:我們的產品和服務,我們的戰略)·半導體行業新客户的出現(更多閲讀:半導體行業動態)·提高品牌知名度(更多閲讀:我們的人)·不斷增強的可持續性動力(更多閲讀:我們的戰略,循環經濟,氣候和能源)·地緣政治緊張(更多閲讀:半導體行業動態,我們如何管理風險)·供應鏈中斷(更多閲讀:我們的供應鏈,我們如何管理風險)·知識產權技術領導壓力(閲讀更多內容:我們如何管理風險)·某些市場的激烈競爭(閲讀更多內容:我們如何管理風險)·人才競爭(閲讀更多內容:半導體行業動態、我們的員工、我們如何管理風險)·疫情和氣候變化的後果(閲讀更多內容:我們如何管理風險、氣候和能源)


ASML年度報告2021年32我們的戰略半導體行業的長期增長基於這樣一個原則:通過不斷縮小(增加微芯片上晶體管的密度)和系統擴展(改進微芯片設計、材料和架構)相結合,數字電子設備上的每次計算所需的功率、成本和時間不斷減少。在接下來的十年裏,我們相信摩爾定律將繼續從電力和時間的成本,通過系統的擴展,到衡量能源和時間效率的總和。這意味着半導體路線圖將繼續在四個領域推動規模擴展:·通過新的晶體管結構和相關材料進行設備級規模擴展·通過優化微芯片電路設計進行電路規模擴展·通過收縮進行尺寸擴展·通過3D集成電路規模擴展來實現架構規模擴展,從而推動對先進半導體解決方案的需求,其中尺寸擴展(收縮)是提高電路密度和成本的關鍵。為了在下一個十年推動負擔得起的規模擴張,芯片製造商的路線圖需要繼續縮減。光刻是縮小的關鍵因素,因為它是用來在微芯片上圖案化結構的工藝。EUV 0.55 NA插入在Logic和DRAM中插入EUV 0.55 NA(High-NA),用於2025年後的大批量生產,通過簡化版圖方案和降低Logic和DRAM的缺陷密度來支持客户路線圖。DUV競爭力繼續保持我們的創新領先地位,通過推動DUV實現最高水平的性能,實現客户路線圖的執行。通過持續改進和卓越的運營,擴大我們的客户羣並支持客户需求。整體光刻和應用通過提供領先的器件內測量解決方案,在邊緣放置測量和控制領域建立了制勝地位,以支持客户需求。將完整的產品組合集成到整體光刻解決方案中,以優化和控制光刻性能。EUV 0.33 NA用於製造安全的大批量製造性能,並通過擴展未來節點的產品組合來增強EUV技術的價值。通過改進系統性能為客户提高成本效益,並通過可升級性和服務延長客户羣的使用壽命。通過關注客户的需求,增強客户的信任,增強卓越的運營能力、部件的通用性和可持續性。推動產品性能和能源效率的提高,重複利用,降低成本和浪費。我們投資於以技術為基礎的創新路線圖,通過增強EUV的分辨率以及覆蓋和圖案保真度控制的整體規模,實現微芯片的持續縮小。此外,我們還投資於DUV以及計量和檢測技術的持續創新,以補充EUV主導的收縮能力。這就是我們追求長期戰略願景的方式。我們通過在研發方面進行大量和持續的投資,以與客户相同的速度在整個產品組合中進行創新。為了加速我們的產品開發,我們並行而不是順序地進行設計,同時始終保證產品的質量、可靠性、可製造性和適用性。這使我們能夠更快地將我們的創新成果送到芯片製造商手中。我們與芯片製造商合作,瞭解我們的技術如何最好地滿足他們的需求,包括他們面臨的挑戰和對未來的願景。正是通過這種合作和信任,我們才能為今天而建,為明天而發展。核心戰略的五大支柱為了實現我們在半導體行業的長期戰略願景,我們繼續推動我們的核心戰略,我們圍繞五大支柱確定了這五大支柱:加強客户信任、整體光刻和應用、DUV競爭力、用於製造的EUV 0.33 NA和EUV 0.55 NA插入。


ASML年度報告2021年33我們的可持續發展戰略通過我們的可持續發展戰略,包括五個戰略領域--氣候與能源、循環經濟、人、創新生態系統和負責任的供應鏈--我們繼續推進我們的企業責任,為我們的利益相關者創造長期價值,併為聯合國的可持續發展目標(SDGs)做出貢獻。我們希望確保可持續的影響,同時為我們的利益相關者提供當前和未來的最佳價值。專注於對我們的業務和利益相關者至關重要的事情,是我們戰略的基石。通過重要性評估,我們確定和評估與我們的利益相關者最相關的主題,並維持ASML的長期業務增長。更多內容請閲讀:非財務報表-重要性評估。十多年來,我們一直致力於通過多方面的可持續發展計劃實現可持續發展。我們的目標是解決與我們和我們的利益相關者最相關的問題,作為我們履行企業責任的一部分。人們為了集體利益而賦予個人權力,以確保我們的員工為我們工作而感到自豪,並實現我們作為一家公司的雄心壯志。負責任的供應鏈通過確保我們以可持續和負責任的方式開展業務,為我們的世界級供應商網絡實現我們努力的創新設定了更高的標準。氣候與能源正在採取每一步來降低我們的足跡,以在我們的運營中實現零排放。在提高產品生產率的同時,我們也在努力提高產品的能效。我們不會孤立地創新,以確保我們價值鏈中的快速創新。我們在合作伙伴和協作知識網絡的幫助下共同開發技術。創新生態系統循環經濟最大限度地減少浪費,最大限度地利用資源,從我們使用的材料中獲取最大價值,並在產品的整個生命週期中重新使用我們的產品。我們目前的可持續發展戰略於2018年啟動,2019年至2025年期間,重點關注五個戰略可持續發展領域。在過去的幾年裏,我們在逐步擴大業務範圍的同時,表現出了不斷的改進和一貫的業績。然而,我們公司的發展以及對可持續發展的環境、社會和治理(ESG)方面的透明報告的需求日益增長,這促使我們重新評估2021年的可持續發展戰略。為此,我們根據主要的可持續性主題及其對我們業務運營的相對重要性,更新了對2022-2025年剩餘時期的重要性評估。這一評估的結果成為ASML重塑和重新制定我們2025年及以後的長期可持續發展雄心和目標的基礎,以加強我們利益攸關方的期望與我們的可持續發展戰略之間的相關性。提高ASML對ESG可持續性的標準,我們的目標是通過能夠進一步縮小的光刻產品和服務,為數字化和可持續的未來做出積極貢獻。作為一個負責任的組織,我們希望做出更多努力,成為可持續發展領域的領導者,利用我們的創新優勢實現這一目標。我們相信數字技術是可持續社會的基石。在微芯片的支持下,它們構成了工具和解決方案的核心,可以幫助社會取得進步和應對全球挑戰,例如通過減少能源消耗和温室氣體(GHG)排放來應對氣候變化。我們的產品繼續支持摩爾定律的延續,該定律使計算、通信和我們生活的無數方面更加節能。為了追求我們的願景,我們開發光刻技術來


ASML年度報告2021年34繼續生產每兩年能效提高三倍的微芯片。此外,我們正在幫助我們的客户最大限度地減少生產先進微芯片所需的材料和能源的使用。我們已經制定了路線圖,讓我們到2030年實現垃圾處理淨零,到2040年實現價值鏈淨零排放。我們的目標是實現這一目標,擁有一支多元化、敬業和有才華的勞動力隊伍和強大的創新合作伙伴網絡,所有這些都敏鋭地着眼於一個更可持續的社會的需求。為了取得成功,我們需要將這種ESG抱負嵌入到我們的企業文化、心態和日常運營中。我們的ESG可持續發展路線圖2022-2025年基於我們當前的可持續發展戰略和我們所取得的進展,我們重新評估並正在豐富我們到2025年的路線圖。我們着眼於我們在各個層面上的影響,從整個社會到我們自己的行動。作為廣泛重新評估的結果,我們將主要問題和我們的影響領域整合為九個可持續發展主題,按我們公司、業務和運營的環境、社會和治理(ESG)方面進行分類。類別主題環境能源效率和氣候行動循環經濟對所有創新生態系統具有社會吸引力的工作場所負責任的供應鏈我們社區的重要合作伙伴治理綜合治理利益相關者參與透明報告環境我們開發光刻技術,以繼續生產每一代更節能的微芯片,取代許多低能效技術、產品和服務。減少我們的環境足跡和管理我們的廢物--包括我們的運營和我們產品和服務的使用--是我們循環經濟方法和可持續發展實踐的關鍵。我們堅持我們的雄心,到2025年在我們的業務(範圍1和範圍2)實現淨零排放的碳中和。與此同時,我們提高了對範圍3排放的雄心。通過與一級供應商的密切合作,我們的目標是到2030年實現供應鏈的淨零排放。此外,通過聯合路線圖上的行業合作,我們努力在2040年前為我們的客户(範圍3)的產品使用實現淨零排放。作為一家跨國科技公司,我們直接和間接地影響着許多人的生活。在我們的價值觀和對企業責任的承諾的推動下,我們希望在社會中發揮積極作用-為我們的員工、我們周圍的社區以及參與我們的創新生態系統和供應鏈的每個人。我們的目標是提供儘可能好的員工體驗,需要我們選擇為我們工作的人才,並希望長期與我們在一起。我們培育了一種文化,在這種文化中,不同的身份、背景、才華和激情受到重視和讚揚,我們使我們的領導層能夠通過信任、賦權和問責發揮人的最佳潛能。我們還在我們周圍的社區中發揮着積極的作用。我們的目標是成為一個有價值和值得信賴的合作伙伴,提高所有人的生活質量,特別關注服務不足社區的人們。我們在我們的行業和創新生態系統中加強創新,培育年輕企業家。我們與我們價值鏈中的客户和合作夥伴密切合作,幫助他們實現目標並實現新技術和應用。我們努力達到行業的社會、道德和環境標準,我們也要求我們的供應商也達到這些標準。治理隨着公司的壯大,組織結構也變得更加複雜。我們倡導良好的綜合公司治理,其中獨立性、問責制和透明度是最重要的要素。這些也是我們和我們的利益相關者--股東、客户、供應商、員工和社會--之間建立信任、尊重和互利關係的基礎。持續的利益相關者參與,即我們通過各種渠道和不同層面接受公開對話和知識共享,對我們這個創新驅動的行業非常重要,並有助於我們確定改進的領域。為了在設定的時間框架內實現我們的雄心,我們專注於加強我們組織的治理結構,以確保我們ESG可持續發展路線圖上的每個項目都嵌入到運營業務計劃中,併為實現其目標做好最好的準備。


ASML年度報告2021年35本年度報告中關於ESG主題的讀者指南2021年年度報告概述了ASML在2021年曆年的戰略、計劃和業績。在可持續發展方面,我們指的是可持續發展的五個戰略領域-氣候與能源、循環經濟、人、創新生態系統和負責任的供應鏈-與我們自2019年以來的披露一致。雖然我們已經在2021年9月29日的ASML投資者日推出了更新的ESG重點領域,但衡量我們業績和成功的指標正在定義中,實施將於2022年開始。根據我們的2022年度報告,我們將使用這套指標報告我們最新的ESG雄心。


ASML年度報告2021年36我們2021年的業績


ASML年度報告2021年37環境社會治理7製造場所(7)1,689 TJ能源消耗(1,412 TJ)30,842 FTE總員工(26,481 FTE)122個國家(120)可持續影響2021年結果(2020年實際情況)財務環境社會5.50建議每股年化股息歐元61億歐元淨收益(2.75歐元)(37億歐元)86億歐元股票回購(12億歐元)(3580萬歐元)(360公斤)每歐元產生305公斤廢物收入77%材料回收率(85%)39.4kt二氧化碳範圍1和2淨足跡(15.4kt)78%員工參與度得分4170萬歐元社區和生態系統支持(80%)5.4%流失率(3.8%)我們的戰略我們的目標通過將技術推向新的極限來釋放人和社會的潛力整體光刻和應用增強客户信任DUV競爭力EUV 0.33 NA用於製造EUV 0.55 NA插入(4)6次監事會會議4次SB委員會396發言報告(229)雙層董事會結構挑戰,協作與關懷核心價值觀行為準則適用於所有員工歐元2700萬歐元培訓和發展(1200萬歐元)歐元每股收益14.97歐元(8.84歐元)治理長期利益相關者價值財務環境社會股東·長期有機增長·資本回報·穩健的融資政策客户·支持新技術員工·可持續園區客户·減少浪費·節能模式員工·積極的員工體驗·職業機會·員工福利·負責任的商業合作伙伴·以最高道德、誠信和尊重標準運營·透明報告·公平納税社會·負擔得起的技術·社區福利·創新生態系統股東、客户、供應商、員工、社會供應商·負責任的採購和生產·員工創造社會·減少環境足跡供應商·減少廢物·可持續生產供應商·戰略合作伙伴財務118億歐元股東權益(153億歐元)歐元46億歐元長期債務,包括經營活動提供的本期部分(47億歐元)116億歐元淨現金(53億歐元)資源(2020年實際)


ASML年度報告2021年38我們如何創造價值我們的業務的成功取決於與價值鏈中所有利益相關者建立牢固、可持續的關係,以實現所需的半導體技術創新。我們利用利益相關者的意見以及行業和社會的趨勢來制定我們的戰略、我們的產品和服務。我們將我們的利益相關者定義為我們的股東、客户、供應商、員工和我們經營的社會。我們致力於為我們的利益相關者創造長期價值,並對聯合國可持續發展目標(SDGs)產生更廣泛的影響。我們的價值創造模型基於國際綜合報告理事會(IIRC)開發的框架,在該框架中,我們將我們在執行戰略時用於業務活動的資本資源建模為財務、環境、社會和治理主題。每一種資本資源都是相互關聯的,商業活動往往需要混合資本。對於每個主題,我們制定了業績指標,根據所使用的資本資源衡量成果進展情況。我們的目標是以最有效的方式使用我們的資本資源,使其潛在價值最大化,並將其負面影響降至最低,作為我們不斷改進和為所有利益相關者創造長期價值的努力的一部分。利益相關者價值我們的目標和戰略旨在通過我們的金融、環境、社會和治理重點領域和主題創造短期和長期價值。短期價值--一年的時間範圍--體現在2021年成果績效指標中。有關我們進展情況的更多信息可在本年度報告的後續章節中找到。長期價值--五到十年的時間範圍--如下所述,按每個利益相關者創造的價值分類。最後,我們將我們對整個價值鏈的長期影響與聯合國制定的可持續發展目標聯繫起來。我們專注於我們可以產生最大影響的五個可持續發展目標:可持續發展目標4優質教育、可持續發展目標8體面工作和經濟增長、可持續發展目標9創新和基礎設施、可持續發展目標12負責任生產和消費,以及可持續發展目標13氣候行動。長期利益相關者重視我們的核心價值觀-挑戰、協作和關懷-是我們旨在創造長期價值的文化的關鍵貢獻者,也是我們戰略執行的重要推動因素。我們將我們對所有利益相關者的長期價值定義為:股東價值我們為執行業務戰略而在研發方面進行的大規模和持續的投資,使我們能夠保持我們在整體光刻領域的領先地位。我們的創新有助於半導體行業的長期增長,這有助於我們穩健的財務業績和資本回報政策。客户價值作為世界領先的芯片製造設備製造商之一,我們投資於使微芯片繼續縮小的創新。憑藉EUV 0.33 NA和下一代EUV 0.55 NA平臺,我們追求摩爾定律的延續。這使我們的客户能夠為新的應用和設備開發功能更強大的芯片。同時,我們通過在我們的產品中嵌入循環原則,幫助我們的客户降低成本和環境足跡。供應商價值隨着我們的增長和我們的創新進入越來越高的複雜程度,我們希望我們的供應商與我們一起成長。我們與我們的供應商網絡一起創新,分享知識,利用彼此的技術專長。與供應商的長期關係、密切合作和透明度是我們成功的關鍵。員工價值我們的勞動力近年來急劇增長,從2016年的約16,500 FTE幾乎翻了一番,到2021年超過32,000 FTE。例如,我們在荷蘭維爾德霍温的總部擁有16,727名員工,是社區的主要僱主。我們是一個擁有122個國家的自豪的僱主,允許不同的觀點來尋求最好的想法。發展我們的員工對我們業務的持續成功至關重要,因此我們投資於他們的職業發展和福祉。通過我們的不斷創新,我們實現了支持半導體行業增長和轉型的新技術,使用人工智能提供新的應用和服務,以滿足社會需求。通過我們的創新生態系統,我們通過回饋社會來培育創新,例如通過與大學和研究機構分享我們的專業知識,支持年輕的科技公司,以及在全球範圍內促進STEM教育。我們還開發突破性技術來加強我們的創新足跡,並將我們的環境足跡降至最低。我們通過尋求最大限度地減少浪費和最大化我們使用的材料的價值來做到這一點,並執行我們的碳足跡戰略和產品能效戰略。


ASML年度報告2021年39可持續影響我們相信,芯片行業在應對社會經濟和環境挑戰方面處於獨特的地位。我們專注於與我們的利益相關者最相關的挑戰和可持續發展領域,以及我們相信ASML可以產生最大長期影響的領域。更多內容請閲讀:非財務報表-重要性評估和半導體行業趨勢和機遇-SWOT分析)。我們把重點放在聯合國的可持續發展目標上,在這些目標上,反興奮劑機構可以發揮真正的作用。


ASML年度報告2021年40財務我們2021年的業績


ASML年報2021年41首席財務官羅傑·達森(執行副總裁總裁和首席財務官)親愛的利益相關者,半導體終端市場的強勁增長,受到數字基礎設施加速以及未來先進節點上不斷增加的光刻強度的推動,推動了對我們產品和服務的需求。這些動態推動了我們公司的增長,在銷售額、我們的員工隊伍和我們為提高我們的能力以支持客户的晶片需求而進行的投資方面。憑藉我們對技術領先地位的持續投資,我們為所有利益相關者創造了巨大的價值,我們擁有合適的工具,可以在未來幾年實現持續的可持續增長。2021年創紀錄的淨銷售額今年是ASML的又一個增長年,淨銷售額達到186億歐元,增加了46億歐元,創下了紀錄。新冠肺炎危機加速了全球數字化進程,導致我們的客户在所有細分市場的先進和成熟節點的需求強勁增長。邏輯系統的銷售額增長了22億歐元,增幅為30%。這是因為客户繼續看到對先進和成熟節點的強勁需求,以支持正在進行的數字轉型,其中包括長期增長驅動因素,如5G、人工智能、虛擬現實、遊戲、模擬和可視化應用,以及將成為不斷增長的數字基礎設施不可或缺的智能雲和邊緣。由於終端市場對服務器和智能手機的強勁需求,內存系統的銷售額增長了11億歐元,增幅為39%。在EUV中,我們看到客户在邏輯和DRAM中採用了更多的層。採用率預計將繼續增長,以降低圖案的複雜性和成本,並支持我們客户激增的需求。這導致2021年EUV系統收入達到63億歐元,比2020年增加18億歐元。我們在2021年成功發貨並認可了42個EUV系統,其中包括我們第一個用於大批量製造的NXE:3600D。2021年,我們總共出貨了26台NXE:3600D。與NXE:3400C相比,NXE:3600D在產品覆蓋方面的性能提高了約30%,並將吞吐量生產率提高了15%至20%。淨服務和現場選項銷售額增長了13億歐元,增長了35%,這是由於生產力、覆蓋和Focus升級套餐的銷售增加,以及不斷增長的安裝基礎。在全球芯片短缺的情況下,我們的客户提前了對我們的生產率增強組件的需求,這些組件提供了最有效和最高效的方式來增加晶圓產量,因為它們可以快速安裝。我們供應鏈中的挑戰為了滿足我們整個產品組合的強勁需求,我們一直在縮短製造週期,我們正在與我們的供應鏈合作,以提高我們對EUV和DUV的輸出能力。在提高產能以滿足日益增長的需求的過程中,我們感受到了新冠肺炎危機的後遺症,表現為我們的供應鏈中出現了一些材料短缺。我們與供應商和客户密切合作,以解決材料短缺的問題,以支持我們所有業務線不斷增長的全球需求,但這些短缺確實導致許多系統的組裝工作起步較晚。此外,我們在新物流中心的啟動過程中也遇到了一些問題。由於這些因素和高需求環境,我們的客户更頻繁地要求快速發貨,我們在完成正常的工廠驗收測試(FAT)之前通過發貨加快系統交付,以便儘快將系統投入生產。這導致收入確認被推遲到發貨後,直到現場完成正式的客户驗收測試。由於我們位於維爾霍温的新物流中心的啟動問題,以及我們供應鏈中的材料短缺,我們的發貨出現了延誤。為了滿足客户對更多晶圓產能的需求,我們加快了生產率升級的交付。總體而言,我們支持強勁客户需求的能力推動2021年總淨銷售額增長33%。展望正在進行的數碼轉型和目前的晶片短缺,進一步助長了增加我們的能力以滿足當前和預期的未來需求的需要。假設客户對高級和成熟節點的需求保持強勁,我們預計我們的Logic業務將繼續增長。對於內存,今年的增長預計將持續到2022年,因為光刻工具的利用率保持不變


ASML年度報告2021年42非常高,而客户表示他們認為DRAM和NAND的需求增長強勁。為了滿足這種預期的位增長需求,客户將需要增加容量並繼續進行節點遷移。隨着客户遷移到更高級的節點,我們還預計會看到EUV對內存的需求增加。隨着裝機量的增長,我們的服務和升級業務將繼續擴大,我們預計升級需求將會很大,隨着這項技術的批量生產,EUV服務收入的貢獻也會越來越大。強勁的毛利、淨收入及經營活動提供的現金毛利佔淨銷售額的百分比由2020年的45.6%上升至2021年的50.6%,主要歸因於NXE 3600D和DUV沉浸系統的價值主張以及我們裝機基礎業務的持續增長。我們繼續推動我們的EUV系統的盈利能力,因此,我們在2021年實現了50%的系統毛利率。展望未來,我們將繼續通過降低成本和提供更多價值來提高系統和服務的利潤率,從而導致更高的銷售價格。我們的有效税率提高到15.2%,主要是因為荷蘭自2021年起提高了創新盒子税率。我們預計未來幾年我們的有效税率約為16%。我們強勁的淨收入和持續的營運資本改善計劃導致經營活動提供的淨現金在2021年增加了63億歐元。顯著的增長使我們能夠通過股息和股票回購計劃向股東返還創紀錄的金額。2021年,我們以86億歐元的總代價回購了股票,並支付了總計14億歐元的股息。我們預計明年將繼續為股東帶來強勁的現金回報。總體而言,受正在進行的數字轉型和當前芯片短缺的推動,這是ASML又一個創紀錄的一年。作為向更互聯世界的數字化轉型的一部分,長期增長趨勢以及推動技術主權的國家正在推動先進和成熟節點所有細分市場的未來需求。羅傑·達森首席財務官


ASML年度報告2021年43 ASML運營關鍵績效指標的最新情況下表列出了我們的管理委員會和高級管理層用來衡量績效的關鍵績效指標。下表中的數字基於美國公認會計原則,因為ASML根據美國公認會計原則衡量其業績,並向利益相關者提交季度外部報告。截至12月31日的一年(歐元,單位:百萬,除非另有説明)2020%1 2021%1銷售額總淨銷售額13,978.5 18,611.0總淨銷售額同比增長(%)18.3 33.1系統淨銷售額10,316.6 13,652.8淨服務和現場選項銷售額3,661.9 4,958.2光刻系統銷售額(單位)2 258 309沉浸式系統確認(單位)68 81 EUV系統確認(單位)31 42盈利能力毛利潤6,797.2 48.6,809.0 52.7運營收入4,051.5 29.0 6,750.1 36.3淨收入3,553.7 25.4 5,883.2 31.6流動資金及現金等價物6,049.4 6,951.8短期投資1,302.2 638.5經營活動提供的現金淨額4,627.6 10,845.8自由現金流量3 3,626.8 9,905.5 1.佔總銷售額淨額的百分比2.光刻系統不包括計量和檢查系統。3.自由現金流量是非公認會計準則衡量標準,其定義為經營活動提供的現金淨額(2021年:108.458億歐元和2020年:46.276億歐元)減去購置不動產、廠房和設備(2021年:9.007億歐元和2020年:9.62億歐元)和無形資產購置(2021年:3960萬歐元和2020年:3880萬歐元)。我們相信,自由現金流對我們的投資者來説是一個重要的流動性指標,反映了可用於收購、償還債務和通過股息和股票回購向我們的股東返還資金的現金。購買物業、廠房和設備以及購買無形資產在計算自由現金流時從經營活動提供的淨現金中扣除,因為這些付款是支持維護和投資我們的資產以維持當前資產基礎所必需的。自由現金流量的組成部分是根據美國公認會計原則確定的。財務業績歐元186億歐元總淨銷售額歐元169億亞洲歐元16億美元EMEA 50.6%毛利率歐元100億歐元資本回報歐元86億歐元股票回購歐元14億歐元每股派息14.97歐元(基本)創新引領半導體行業,我們擁有正確的工具來執行我們的長期財務戰略,並預計在未來幾年實現持續的可持續增長。


ASML年度報告2021年44總淨銷售額和毛利潤我們在2021年又實現了創紀錄的一年,總淨銷售額增長了46.325億歐元,增幅為33.1%,反映出系統淨銷售額增長了32.3%,服務和現場選項淨銷售額與2020年相比增長了35.4%。來自每個邏輯和存儲市場以及我們的客户羣的收入增長(歐元,以百萬為單位)歐元18,611歐元13,978歐元11,820歐元9,589歐元7,393歐元6,565歐元4,064歐元2,923歐元2,431歐元4,958歐元3,662歐元2,824邏輯存儲服務和現場選項2021年的經營業績與2020年相比2021年的經營業績根據美國公認會計原則和歐盟-國際財務報告準則對淨收益的調節如下:截至12月31日的年度(歐元,以百萬為單位)2020 2021年開發支出資本化和相關攤銷的淨收益,税項淨額140.6 249.0所得税2.52.5淨收益符合歐盟-國際財務報告準則3,696.8 6,134.6本年度報告所載綜合財務報表乃根據歐盟-國際財務報告準則編制,因此,本章餘下部分所載營運分析結果以歐盟-國際財務報告準則為基礎。截至12月31日的年度(歐元,系統淨銷售額10,316.6 73.8 13,652.8 73.4 32.3服務和現場選件淨銷售額3,661.9 26.2 4,958.2 26.6 35.4總淨銷售額13,978.5 100.0 18,611.0 100.0 33.1系統銷售成本(5,597.9)(40.0)(6,874.5)(36.9)22.8服務和現場選件銷售成本(2,012.0)(14.4)(2,3191)(12.5)15.3銷售總成本(7,609.9)(54.4)(9,193.6)(49.4)20.8毛利6,368.6 45.6 9,417.4 50.6 47.9研發成本(1,579.9)(11.3)(1,861.6)(10.0)17.8銷售,一般和行政成本(544.9)(3.9)(725.6)(3.9)33.2其他收入--213.7 1.1 N/A營業收入4,243.8 30.4 7,043.9 37.8 66.0財務收入8.4 0.1 10.0 0.1 19.0財務成本(43.3)(0.3)(54.6)(0.3)26.1所得税前收入4,208.9 30.1 6,999.37.6 66.3所得税開支(600.7)(4.3)(1,063.8)(5.7)77.1所得税後收入3,608.2 25.8 5,935.5 31.9 64.5與聯營公司投資有關的利潤(虧損)88.6 0.6 199.1 1.1 124.7淨收益3,696.8 26.4 6,134.6 33.0 65.9 1.佔總淨銷售額的百分比我們在邏輯和存儲市場都看到了增長,這反映了我們的客户創新的動力,並繼續投資於未來的技術節點,以促進數字基礎設施的加速和推動“技術主權”,並提高製造能力,以解決全球芯片短缺的問題。在數字化轉型和分佈式計算的推動下,對先進和成熟節點的邏輯需求繼續強勁。在終端市場對服務器和智能手機需求的推動下,內存需求繼續增長。


ASML年度報告2021年45淨銷售額增長由EUV和安裝基礎管理(歐元,以百萬為單位)的增長推動歐元13,978歐元1,821歐元1,043歐元309歐元164歐元1,296歐元18,611 2020 EUV Arfi KRF計量和檢驗服務及現場選項2021淨銷售額的增長是由我們的客户對所有技術的需求強勁增長推動的。我們的DUV和EUV銷量增加,以跟上持續的數字轉型和當前芯片短缺所推動的客户需求。我們在2021年確認了42個EUV系統的收入,而2020年為31個EUV系統。我們DUV技術的系統銷量從2020年的227台增加到2021年的267台。除了EUV和DUV的增長外,服務和現場選項銷售也是我們淨銷售額整體增長的關鍵驅動力。這一增長是由生產力、覆蓋和焦點升級套裝的銷售增加推動的,這些套裝提供了快速增加晶片產量的最有效和最高效的方式,並得到不斷增長的安裝基礎的支持。EUV繼續以更有意義的方式為淨服務和現場選項銷售做出貢獻,因為我們的安裝基礎繼續增長,我們的客户繼續在其大批量生產中運行更多的EUV系統。毛利由於銷售額和盈利能力的增加,毛利增加了。毛利潤佔淨銷售額的百分比從2020年的45.6%增加到2021年的50.6%,主要歸因於我們為客户提供更多價值的EUV盈利能力的改善,DUV產品組合以及通過提高產量和生產力升級數量改善了我們安裝的基礎業務的盈利能力。歐元6,369歐元9,417 45.6%50.6%毛利(歐元,百萬歐元)毛利率%2020 2021研發成本研發投資25.47億歐元(2020年:22.08億歐元),由信用淨額(包括不符合資本化條件的開發成本)18.616億歐元(2020年:15.79億歐元)和開發支出資本化6.854億歐元(2020年:6.209億歐元)組成。我們的每個EUV、DUV和支持我們整體光刻解決方案的應用程序的投資都有所增加,其中最重要的投資流向了我們繼續加強EUV大批量製造的路線圖,以及我們開發的EUV 0.55 NA(High-NA)。2021年,研發活動主要涉及:1,580歐元1,862 11.3%10.0%研發成本(歐元,百萬歐元)佔2020年淨銷售額的%2021年·EUV-繼續投資於EUV大批量製造,完成NXE:3600D的開發,投資NXE:3800E的開發,以及進一步提高我們已安裝基礎系統的可用性和生產率。此外,我們的路線圖包括我們的下一代EUV 0.55 NA系統High-NA,以支持我們的客户擁有未來的Logic和DRAM節點。·DUV-我們最新一代浸漬系統NXT:2050i的升級,以及乾式系統XT:860N的推出。下一代掃描儀將於2022年發貨,NXT:2100i用於最關鍵的DUV層,NXT:870用於KRF乾式市場的突破性生產力。繼續提高生產率,以提高客户安裝基礎上的每天晶圓產量。·應用--繼續投資於單光束檢測、電子束計量和光學計量(YeldStar ADI和IDM解決方案)。此外,確保我們的多波束檢測路線圖的安全,並不斷擴大我們在整體軟件應用領域的投資。


ASML年度報告2021年46歐元545歐元726 3.9%3.9%SG&A成本(歐元,以百萬為單位)佔淨銷售額的百分比2020 2021歐元601歐元1,064 14.3%15.2%所得税支出(歐元,以百萬為單位)ETR%2020 2021歐元8.84歐元14.97 418 410 EPS(基本)加權平均股數2020 2021銷售、一般和行政成本SG&A成本從2020到2021年增加了33.2%,這是由於員工數量的增加,以及支持我們增長的數字化和網絡安全方面的投資。2021年,我們的銷售、一般和行政成本佔淨銷售額的百分比保持在3.9%(2020年為3.9%)。所得税2021年實際税率增至15.2%,而2020年為14.3%。較高的税率主要是由於荷蘭的創新盒子税率從7%提高到2021年的9%。2021年的淨收益淨收益為61.346億歐元,佔總淨銷售額的33.0%,相當於每股普通股基本淨收益14.97歐元,而2020年的淨收益為36.968億歐元,佔總淨銷售額的26.4%,相當於每股基本淨收益8.84歐元。


ASML年報2021年47現金流量分析今年我們取得了創紀錄的現金流量表現。在客户的強勁全球需求和我們的營運資本計劃的推動下,我們的經營活動提供的淨現金增加到116億歐元(2020年:53億歐元)。我們還繼續努力將現金返還給我們的股東。通過股票回購計劃和不斷增加的股息,我們能夠向股東返還創紀錄的現金。2021年,我們購買了86億歐元(2020年:12億歐元)的股票,支付了總計14億歐元(2020年:11億歐元)的股息。我們繼續大力投資於我們的下一代技術,以確保未來的增長機會,這需要在淨營運資本、資本支出和研發方面進行大量現金投資。然而,我們的資本分配政策保持不變。2021年12月31日止年度(歐元,單位:百萬)2021年期初3,532.3 6,049.4經營活動提供(使用)現金淨額5,306.6 11,592.6投資活動提供(使用)現金淨額(1,972.3)(757.4)融資活動提供(使用)現金淨額(811.9)(9,953.1)匯率變動對現金的影響(5.3)20.3現金及現金等價物淨增(減)2,517.1 902.4現金及現金等價物淨額,期末6,049.4 6,951.8短期投資1,302.2 638.5經營活動提供(用於)經營活動的現金淨額7,351.6 7,590.3經營活動提供的現金淨額與2020年相比大幅增加63億歐元,主要是由於淨收益增加24億歐元,以及與我們持續的營運資本改善計劃相關的客户首付增加。用於投資活動的現金淨額與2020年相比減少了12億歐元,這主要是由於我們的大多數短期投資到期,由於大量現金用於我們的股票回購計劃,新的短期投資購買有限。2021年,我們以3億歐元的價格出售了作為收購柏林Glas的一部分而收購的非核心業務,而在2020年,我們以3億歐元的總代價收購了柏林Glas。用於融資活動的現金淨額與2020年相比,融資活動使用的現金淨額顯著增加91億歐元,這主要是由於通過我們的股票回購計劃購買的股票增加了74億歐元,導致購買的股票總計86億歐元。此外,我們的股息增加了3億歐元,達到14億歐元。2020年,我們從發行15億歐元的票據中獲得了淨收益,2021年沒有發行。截至2021年12月31日,管理層已確定ASML有足夠的營運資金來滿足公司目前的需求。


ASML年度報告2021年48長期增長機會趨勢信息我們預計2022年將是又一個增長年,在健康的Logic需求和內存市場的增長的推動下,預計淨銷售額將比2021年增長約20%。預期的增長是由所有平臺上銷售額的增加以及我們安裝基礎業務的增長推動的。圍繞創新和拓展新市場的積極行業勢頭進一步增強了我們對2022年前景和2025年增長情景的信心。在邏輯中,我們看到了正在進行的數字化轉型,因為我們正在向一個更互聯的世界邁進。不斷擴大的應用空間和長期的增長動力轉化為對高級和成熟節點的非常強勁的需求。在這種持續強勁的需求下,我們預計Logic System的收入將同比增長20%以上。在記憶中,我們也預計今年我們的業務將繼續增長。客户表示,系統正在以更高的利用率水平運行。由於客户正在進行技術過渡以支持預期增長,因此預計需要增加更多容量。隨後,預計這將觸發設備需求。因此,我們似乎很可能在2022年看到內存市場對光刻設備的強勁需求,系統收入同比增長約25%。客户採用了EUV,隨着客户對EUV的信心不斷增強,這將轉化為他們的下一個節點中更多的層,用於邏輯生產以及在內存中的採用。我們預計將發貨約55個系統,其中6個系統的收入將因快速發貨而推遲到2023年。儘管發生了這種轉變,我們預計2022年我們的EUV系統收入將增長25%。在我們的DUV和應用業務中,我們預計浸入式和乾式系統的增長,以及對計量和檢測系統的持續需求。我們預計非EUV發貨收入的收入增長超過20%。我們預計我們的客户羣管理業務將進一步同比增長約10%,因為隨着我們客户羣的增長,對服務的需求將繼續擴大。此外,隨着越來越多的系統開始在批量生產中運行晶圓,我們預計EUV對服務銷售的貢獻將會增加,並預計巨大的需求展望2025和2030這十年都是關於分佈式計算的,使雲更接近邊緣設備,通過連接,我們所有人都可以在設備上獲得計算能力,從而實現一個互聯世界。電子行業的這些全球大趨勢,在一個利潤豐厚、創新能力極強的生態系統的支持下,預計將繼續推動整個半導體市場的增長。這意味着先進和成熟節點的晶圓需求都會增加。全球各國爭取技術主權的努力,預計將推動資本密集度上升。這意味着,隨着光刻支出的增加,預計該行業將在晶圓產能方面進行重大投資。半導體終端市場,如汽車、數據中心、工業和消費電子產品,預計至少在2025年之前將同比增長7%以上,這將推動我們基於EUV組合增加的業務強勁增長,而對DUV的需求預計將在所有波長保持強勁。為了實現這一目標,我們和我們的供應鏈合作伙伴正在積極增加和提高產能,以滿足未來客户的需求。2021年9月29日,我們在投資者日上展示了我們向上修正的2025年長期增長機會,由於過去兩年我們看到了數字化的快速發展,我們在低端和高端市場重新建模了之前的銷售情景。預計客户強勁的資本支出增長將持續下去,預計光刻資本支出複合年增長率為13.8%(2017-2025年)。這與我們在2018年投資者日上顯示的同期先前預期的複合年增長率7.5%的預期形成對比。根據不同的市場情景,我們相信我們有機會在2025年達到約240億歐元至300億歐元的年銷售額。升級,特別是在EUV中,因為客户利用升級作為增加容量的快速方法。2022年第一季度的總淨銷售額在33億歐元至35億歐元之間。上述趨勢受到風險和不確定因素的影響。更多內容請參閲:有關前瞻性陳述的特別説明。


ASML年度報告2021年49 1.毛利率%符合美國公認會計原則2025年後的趨勢,我們還宣佈,我們認為增長機會將繼續存在,根據第三方研究和我們的假設,我們預計我們的系統和安裝基礎管理將在2020-2030年期間提供約11%的年銷售額增長率。我們的銷售潛力主要基於假設的有機增長。我們不斷審查我們的產品路線圖,並不時進行有針對性的收購或股權投資,以增強我們提供的產品的行業協同效應。基於此類審查和對明確的潛在產品和價值協同效應的評估,我們還可能在未來評估和實施重點併購活動。在這一增長雄心內,我們預計將通過不斷增長的年化股息和股票回購相結合的方式,繼續向我們的股東返還大量現金。最後,我們尋求不斷提高我們在ESG可持續發展關鍵績效指標上的表現,並在2022年根據我們的ESG可持續發展戰略路線圖更新升級KPI,以加快與我們的合作伙伴密切合作的進展。更多內容請閲讀:我們在半導體價值鏈中的位置-我們的戰略。2025年低端市場2025年高端市場EUV 0.55 NA EUV 0.33 NA DUV浸入式DUV乾式總計5 48 70 190 313光刻系統總需求EUV 0.55 NA EUV 0.33 NA DUV浸入式DUV乾式總計5 70 87 290 452總光刻系統需求系統銷售安裝基數管理總計180億歐元60億歐元240億歐元ASML銷售系統銷售安裝基管理總計230億歐元70億歐元30億ASML銷售毛利率:54%-56%


ASML年度報告2021年50環境我們致力於從我們的運營和產品和服務的使用中減少我們的環境足跡。


ASML年度報告2021年51氣候與能源1,689 TJ能源消耗92%可再生電力39.4kt淨排放足跡(範圍1和2)0.5kt價值鏈排放強度(範圍3)每歐元m收入我們致力於儘可能降低我們的碳足跡,以在我們的業務中實現淨零排放。在提高產品生產率的同時,我們也在努力提高產品的能效。氣候變化是一個全球性挑戰,需要包括我們在內的每個人都採取緊急行動。將氣温升幅控制在遠低於2攝氏度的挑戰是一項全球責任。在ASML,我們致力於減少我們的碳足跡。在碳足跡方面,我們確定了三個影響領域:我們辦公場所使用的化石燃料的直接排放(範圍1),我們辦公場所的電力消耗(範圍2)的間接排放,以及我們價值鏈(範圍3)中客户對我們產品的上游供應鏈和下游使用的間接排放。在我們的碳足跡戰略中,我們已經確定了我們的雄心,並在所有三個領域設定了目標。我們正在對我們自己的業務(範圍1和2)的二氧化碳排放承擔直接責任,我們的目標是到2025年實現二氧化碳淨零排放。我們還認識到,我們的足跡超出了這一範圍,延伸到我們的價值鏈(範圍3)。我們對範圍3排放的主要影響是我們產品的碳足跡,我們的目標是通過提高產品的能源效率和生產率來減少產品的碳足跡。我們使用與氣候有關的財務披露工作隊(TCFD)的評估指南來確定和評估與氣候有關的風險和機會的影響。更多閲讀:我們的TCFD建議:與氣候相關的披露,可在www.asml.com上獲得。根據過去幾年的碳足跡戰略,我們在減少範圍1和範圍2的碳足跡和能源消耗以及完善範圍3的計算方面,在業績和成就方面取得了重大進展。儘管我們看到了許多積極的結果,並正在取得進展,但我們也意識到,我們還沒有做到這一點。我們的範圍1和範圍2碳足跡戰略建立在三個原則之上:儘可能減少能源消耗,只使用綠色可再生能源,除非沒有其他可能或合理可行的解決方案,以及補償剩餘排放。


ASML年度報告2021年52我們的目標是到2025年實現範圍1的碳中和,我們的目標是通過執行我們在總體計劃中定義的超過25個項目,增加我們現場的可再生能源生產,優化我們的m2的使用,將我們的員工重新安置到更節能的辦公室(BREEAM認證),並實施剩餘排放的抵消戰略來實現100 TJ(或2.5kt)的直接節能。節能總體規劃的主要內容是提高技術設施的能效,改善我們作業的能源管理,以及增加我們自己的可再生能源的生產。下表列出了排名前三位的關鍵項目。主要項目總體估計節能-年度(以TJ為單位)估計範圍1減少:中性氣體(以TJ為單位)估計範圍2減少:電力(以TJ為單位)能源電網50-40-10實施絕熱加濕和消除蒸汽發電12-12 0空氣變化減少(可行性研究)200-20關於範圍2,我們的目標是增加從荷蘭廠房附近生產的可再生電力直接購買綠色能源(所謂的捆綁可再生電力)的份額,並減少證書的份額。對於美國和亞洲,我們的雄心是購買可再生能源屬性證書(分別是REC和IREC),並監測這些國家的可再生能源的發展。我們的範圍1和範圍2的減排目標與將升温控制在1.5攝氏度以下所需的減排目標一致,並得到了基於科學的目標倡議(SBTI)的批准-在“近期”類別下。我們認識到,對環境的影響超出了我們的運營範圍。一般來説,在我們的價值鏈(範圍3)中,能源消耗對環境的大部分影響來自我們供應商(上游)的温室氣體排放和我們客户(下游)對我們產品的使用。結果表明,來自上下游價值鏈的間接排放(範圍3)約佔總排放足跡(範圍1、2和3)的98%。其中,價值鏈中的間接排放,“下游”類別--我們客户現場銷售產品的使用--佔近65%,而“上游”類別--與我們購買的商品和服務相關的排放--佔30%。我們範圍3的其餘5%的排放與交通、商務旅行和通勤等活動有關。與2019年0.55的基線相比,我們2025年的範圍3目標是降低強度水平。強度是通過將範圍3的總排放量(以千噸計)歸一化為總收入(以百萬歐元為單位)來衡量的。考慮到產品組合的變化(銷售的EUV系統數量增加),以及我們生產的產品單位產量預計將增加,整個價值鏈的整體排放量預計將上升。我們的供應商可持續發展計劃是減少上游足跡的關鍵推動因素。更多內容請閲讀:我們在2021年的表現-社會-我們的供應鏈。通過執行我們的產品能效戰略,我們可以減少我們的下游足跡。更多內容請閲讀:產品能效戰略。


ASML年度報告2021年53我們在2021年取得的成就,我們將我們的環境報告範圍從之前報告範圍內的20個地點擴大到57個地點-覆蓋全球95%以上的二氧化碳排放量-覆蓋了我們約90%的排放量。擴大的範圍使我們準備好在不久的將來針對以科學為基礎的目標原則進行報告。我們的增長和報告範圍的擴大相結合,導致我們的範圍1和範圍2的總排放量比2020年增加了約19%。在使用可再生電力方面,我們還需要考慮擴大的環境報告範圍,因此可再生電力的份額從2020年的100%下降到92%。我們的雄心不變-對於我們運營產生的排放(範圍1和2),我們的目標是到2025年實現碳網中和(範圍1和2)。範圍1與半導體行業的同行相比,我們的能源消耗和相關的碳足跡相對較低。作為一家光刻設備製造商,我們的主要直接二氧化碳排放來自化石燃料--主要是天然氣。天然氣消耗的絕大部分用於建築物的供暖和潔淨室的加濕,以使其保持在設定的温度和濕度水平。有關更多信息,請參見範圍1明細表。在2010-2021年的時間框架內,我們執行了近100個節能項目,累計減少了260 TJ。在同一時期,我們的天然氣消費保持穩定,儘管潔淨室和辦公室的數量大幅增長(自2010年以來增加了10,000平方米以上)。能源電網在2021年,我們開始了一個多年的項目,實施能源電網,為我們位於荷蘭維爾德霍温的辦公室重新利用餘熱。能源電網是兩個範圍2狀態2021:20 kt目標2025:我們整個運營範圍內能源使用產生的淨零間接排放1狀態2021:19 kt目標2025:我們運營範圍3的淨零直接排放2021:8,800 kt價值鏈中所有其他間接排放來自制造和使用我們的產品的目標2025:降低強度管道迴路,使廢熱在冬季可用於供暖,在夏季可用於節能製冷。這個項目,加上在我們的兩個潔淨室實施絕熱加濕,預計將減少約170萬立方米的天然氣,相當於52TJ。節省能源主要是透過採用更具能源效益的技術裝置和改善整體生產流程來達致。我們的努力集中在回收廢熱和減少我們潔淨室的能源消耗上,而保持合適的條件是能源密集型的。2021年,由於在荷蘭和臺灣執行的項目,我們每年節省13TJ的能源。在荷蘭,最大的項目已經完成,並在2021年節省了近8TJ,從現在起每年將節省約11TJ。在臺灣新竹,我們在2021年通過超時優化空調系統的使用,成功地節省了3TJ的能源。範圍1-天然氣消費細目60%25%5%10%供暖增濕減排一般


ASML年度報告2021年54繼續我們進一步降低能源消耗的努力,我們希望通過在全球五個不同地點執行約25個項目,到2025年實現100 TJ的直接節能,正如我們的節能總體計劃所定義的那樣。房地產投資組合作為一家公司,我們努力優化我們的房地產投資組合。優化我們產品組合中的每一平方米的使用有助於減少我們的環境足跡-節省的每一平方米都是我們不需要加熱、降温、通風或照明的一平方米。在建設新的辦公室和製造基地時,我們會利用這個機會使我們的建築儘可能環保。例如,着眼於未來的發展,我們在荷蘭維爾德霍温的新校區的設計非常注重可持續發展。它的設計和材料的使用將使用BREEAM指南進行可持續性能評估,得分為“優秀”。2025年,我們努力在我們運營的國家/地區的新建築中實施最合適的綠色建築認證--例如BREEAM、LEED和G-SEED。範圍2排放電力佔我們ASML使用的能源的近80%。我們的大部分電力消耗與製造芯片製造設備有關-從組裝到測試光刻和其他系統-以及保持一致的氣候條件,如恆定的温度、濕度和空氣質量。2021年,我們在荷蘭獲得了一份為期10年的綠色電力採購協議,這將使我們能夠實現在荷蘭100%使用可再生電力的目標。對於我們在美國的用電量,我們也實現了100%的可再生能源。亞洲的可再生能源市場情況略有不同,也更具挑戰性--我們正在研究各種選擇,以實現我們在那裏的雄心。2021年,我們在荷蘭維爾德霍温園區安裝了3700平方米的太陽能電池板,預計每年可提供約2.3TJ的太陽能電池板。我們計劃在未來幾年擴大我們在歐洲、美國和亞洲工廠的太陽能電池板份額。範圍2-整體配電80%5%15%潔淨室設施寫字樓其他ASML於2020年與萊茵集團簽署為期10年的綠色購電協議。ASML和萊茵集團簽署了一項購電協議(PPA)。萊茵集團是世界領先的可再生能源公司之一,也是全球能源交易的主要參與者。根據這份為期10年的協議條款,ASML每年將從萊茵集團獲得263GWh的綠色電力。這項協議使ASML更接近其到2025年實現碳中性電力的目標。電力將通過不同技術的各種可再生能源組合提供:荷蘭的三個新的萊茵陸上風力發電場、比利時的一個海上風力發電場和荷蘭的一個太陽能發電廠。兩個荷蘭萊茵風電場Oostpolderdijk和Westeem位於Eemshaven附近。海上風力發電場Noordwester 2位於比利時澤布呂日海岸外。第三個風力發電場和太陽能發電廠都位於荷蘭的Borssele附近。


ASML年度報告2021年55範圍3排放量我們使用《温室氣體議定書》的指導來計算我們的範圍3排放量,該組織為排放報告提供了廣泛使用的國際標準。我們一直在尋求改進Scope 3計算的數據質量。2021年,我們又邁出了一步,通過我們的供應商可持續發展計劃直接從我們的供應商那裏請求二氧化碳排放數據。認識到我們依賴我們的供應商,我們也鼓勵我們的價值鏈合作伙伴與我們合作,共同減少我們的碳足跡。更多內容請閲讀:我們在2021年的表現-社會-我們的供應鏈。我們的環境管理體系我們有一個環境管理體系(EMS),幫助我們監控我們的能源和排放,改善業績,提高效率。我們的EMS被整合到我們的環境、健康和安全(EHS)綜合管理系統中。我們所有的設施都是在這個EHS管理系統的基礎上運行的--臺南(臺灣)和美國聖何塞(聖何塞)的以前的HMI地點已經成功地整合在一起。我們的EHS管理體系通過了ISO:14001認證,並按照ISO:45001的要求構建。這一認證使我們的利益相關者對我們實現環境目標的承諾充滿信心。我們通過監測我們的範圍1、2和3的排放來衡量我們在減排方面的進展,這代表了三個關鍵的績效指標。我們參與了碳披露項目(CDP)的年度評估,這是一個非營利性的全球披露計劃,也有助於指導我們的環境倡議。在最新的CDP氣候變化2021年評估中,我們的得分為C,與行業平均水平相同。強度率範圍3排放趨勢2,200 2,400 2,900 3,300 400 700 280 600 3,900 5,300 5,650 7,600上游(購買的貨物和服務)自有業務與下游相關(銷售產品的使用)強度率基線2019 2020 2021 2025預測0 2,000 4,000 8,000 10,000 14,000 0.00 0.50 1.00


ASML年度報告2021年56產品能效戰略隨着對增強芯片功能的需求不斷增長,整個微芯片構圖工藝(包括我們的光刻系統)的複雜性和能耗也在增加。廣泛採用我們的EUV光刻系統的一個主要好處是能夠簡化圖案化方案以創建微芯片最關鍵的層,從而減少了應用複雜的多圖案化方案的需要-與多圖案化工藝相比,這意味着完全加工晶片所需的整體制造能耗和材料消耗更少。然而,EUV的激光等離子體技術需要很高的電力輸入,因此我們的產品能效戰略重點放在EUV上。我們面臨的挑戰是提高產品的能效。我們為自己設定的目標是,儘管生產率不斷提高,但到2025年,我們的下一代EUV系統的總體能耗要比2018年基準型號NXE:3400B降低10%。我們的第二個目標是與nxe:3400B(2018年基準)相比,同時將每一次暴露晶圓的能耗降低60%。為了實現這一目標,我們已經制定並正在執行EUV能效路線圖。降低整體能源消耗EUV光源是我們目前致力於降低能源消耗的工程重點領域,因為它需要佔EUV系統總能源消耗的較大部分。該路線圖包括優化二氧化碳激光器的順序,以產生用於產生EUV光的等離子體,例如,通過在系統處於空閒模式時關閉二氧化碳點火,以及減少曝光之間的二氧化碳點火。我們的長期目標是最終在兩次接觸之間徹底減少二氧化碳的燃燒。這需要我們的研究團隊和我們的供應商進行可行性研究,以確保激光光束路徑保持穩定。另一個節能領域是冷卻水策略。我們與我們的供應商一起確定了使用更高温度的冷卻水來消除EUV源和電子機櫃中的熱量的方法。這將通過再循環工藝冷卻水減少冷卻系統所需的能量。為了實現這一目標,我們需要確保驅動激光器等模塊可以在更高的温度下運行,我們目前正在與供應商一起開發這一模塊。產生EUV光EUV系統的能量消耗的很大一部分用於操作激光產生的等離子體源來產生EUV光。直徑約25微米的錫熔滴從發電機中噴出。當它們移動時,液滴首先被較低強度的激光脈衝擊中。然後,更強大的激光脈衝蒸發和電離扁平的液滴,產生發射EUV光的等離子體。這種使用TiN液滴從激光到EUV光的轉換過程每秒進行50,000次,是最耗能的步驟。通過提高轉換效率,我們可以在恆定晶片輸出的情況下降低EUV系統的能耗。要做到這一點,同時確保這不會對EUV系統的其他功能產生負面影響,這對我們的研發團隊來説是一個關鍵挑戰。其他挑戰包括開發能夠應對更高EUV強度的材料和塗層,以及改進光學部件的熱管理-這包括晶片本身,它在生產過程中通過暴露在EUV光下而升温。應對這些挑戰需要在我們由客户、供應商和知識機構組成的創新生態系統內持續創新和協作。通過將總能耗絕對值降低10%,同時與基準型號NXE:3400B相比將生產率提高一倍,我們的目標是將每曝光晶圓的能耗降低60%。為了提高硅片的生產效率,我們不斷致力於提高壁塞功率到EUV光的轉換效率,並優化程序、控制方案和其他組件,如更高反射率的反射鏡和更快的工作臺。我們的大部分產品效率提升也作為我們光刻系統安裝基礎的升級提供。對於我們的客户來説,這有助於改善安裝基礎的經濟價值、提高生產率並降低每片晶片的光刻能耗。


ASML年度報告2021年57我們在2021年的進展,我們測量了我們的NXE:3600D系統的能效。與其前身(NXE:3400C)相比,功耗為1.3 mW,但在30 mJ/cm2劑量下的生產率從136晶片/小時(WPH)提高到160 WPH。我們通過改進光柱的傳輸和改進晶片管理,減少所謂的掃描儀開銷,實現了更高的吞吐量。與基準模型相比,系統能耗降低了6%。與此同時,每曝光一次的硅片道次能耗降低了37%。這表明我們正在實現我們的目標,即到2025年EUV系統能耗降低10%,每個暴露晶圓通道的能耗降低60%。2021年,我們安裝了稀釋系統,旨在簡化和減少氫減排系統的能源使用。我們的EUV系統需要氫氣來保護EUV掃描儀和光源中的光學元件。對於較新的生產艙,我們選擇在使用後稀釋和排放氫氣,而不是燃燒它。這既節省了甲烷燃燒的能源和排放-保持氫火焰的穩定-也節省了降低冷卻水需求。2021年,我們繼續調查更温暖的冷卻水的使用情況。我們研究瞭如何將其應用於驅動激光器,並通過帶頭廣泛更新S23能源標準,開始與我們的客户和SEMI(代表半導體制造供應鏈的全球行業協會)接觸。由於這涉及到我們供應商的硬件和我們客户的晶圓廠的設施安裝的重大變化,該項目是我們長期計劃的一部分,目的是到2025年(2018年基準年)將每一次晶圓通過所需的牆上插頭功率減少60%。下表概述了為實現這一產出而取得的產出和能源使用方面的系統成就。DUV沉沒系統NXT:1980Di NXT:2000i NXT:2050i NXT:1980Ei NXT:1960BI+PEP-B能源測量年份2015 2017 2020 2021 2021能源消耗(兆瓦)0.14兆瓦0.14兆瓦0.13兆瓦0.13兆瓦0.13兆瓦產能(WPH)275 275 295 295 250每道曝光晶圓的能耗(以千瓦時為單位)0.51千瓦時0.45千瓦時0.48千瓦時0.51千瓦時晶圓每年2,409,000 2,4092,584,200乾式2,190,000平臺紫外一星系統XT:860M XT:1460 NXT:1470 YS350EYS375F YS-380能源計量年度2017 2020 2020 2017 2019 2021能源消耗(兆瓦)0.07兆瓦0.06兆瓦0.11兆瓦0.01兆瓦生產能力(WPH)240 209 277n/a每暴露晶圓道次能耗(以千瓦時為單位)0.28千瓦時0.27千瓦時0.38千瓦時n/a硅片每年2,102,400 1,830,840 2,435,280 n/a平臺1 EUV 20 MJ/cm2劑量EUV 30 MJ/cm2劑量系統NXE:3350B NXE:3400B NXE:3400C NXE:3600D能源測量年份2015 2018 2020 2021能源消耗(兆瓦)1.15兆瓦1.40兆瓦1.31兆瓦1.32兆瓦產能(WPH)59 107 136 160每暴露晶圓通道的能耗(千瓦時)19.49千瓦時13.08千瓦時9.64千瓦時8.27千瓦時晶圓每年516,840 937,320 1,191,360 1,401,600 1。根據SemS23標準,計算的“每年晶圓數量”假設100%的正常運行時間和100%的利用率。:


ASML年度報告2021年58 EUV的高級圖案化有助於限制能源和水使用和温室氣體排放的增長更先進的微芯片意味着更小的特徵,這需要在光刻中使用更短的波長來製造它們。例如,對於193 nm的DUV光的單次曝光,微芯片圖案的圖像的最小特徵達到了約40 nm的物理極限。然而,通過使用相同圖案的兩次或更多曝光,即所謂的多重圖案化,可以通過4次曝光和附加工藝步驟以2 nm或10 nm的方式在20 nm處成像細節。在過去的幾十年裏,帶有DUV的多重圖案已經成為半導體制造的主流,但代價是必須多次經歷相同的工藝步驟,這增加了生產週期時間和對環境的影響。與DUV相比,13.5 nm的EUV可以實現更高效的芯片製造工藝-由於EUV系統具有更高的分辨率,一次曝光和工藝步驟可以取代幾次曝光和更少的工藝步驟來對芯片進行圖案化。根據imec1進行的一項研究,使用EUV可以將一些關鍵層的非光刻加工步驟減少多達三到五倍-這顯著縮短了生產週期時間。由於沉積、蝕刻和清潔步驟的數量較少,製造廠還受益於減少能源和水的使用。隨着我們的EUV系統生產率的提高--這使得我們可以更快地製造更先進、更節能的微芯片--因此,與使用DUV的複雜多圖案策略相比,使用EUV光刻每個晶片的總圖案化過程的能耗將更低。我們的下一代EUV系統EUV 0.55 NA(High-NA)將進一步縮小並部分消除雙重曝光計劃,再次用單一0.55 NA曝光取代多次0.33 NA曝光。因此,利用EUV 0.55 nA,可以再次減少非光刻處理步驟的數量。這將有效地進一步限制每片晶片的圖案化工藝的總能耗。1資料來源:M.Garcia Bardon等人,DTCO包括可持續性:電力-性能-面積-成本-環境得分(PPACE)分析,邏輯技術,IEDM2020


ASML 2021年年度報告59氣候和能源關鍵績效指標下表顯示了關鍵績效指標(KPI)和相關的2025年目標。更多內容請參閲:非財務報表-非財務指標-我們業績指標(PI)的氣候和能源及相關結果。由於計量方法和應用的假設的限制,非財務數據可能包括一定程度的不確定性。更多內容請參閲:非財務報表-關於非財務信息-報告指標。KPI 2019 2020 2021目標2025年系統能效nxe:3x00 1系統-nxe:3400C nxe:3600D能耗(較基準2018年下降10%)--6%-6%較基準2018年(1.40兆瓦)產能(WPH)-136 160每道裸露硅片能耗(較基準2018年下降%)--26%-37%較基準2018年(13.1千瓦時)晶圓片-每年1,191,360 1,401,600可再生電力(佔總購買電量)96.6%100.0%92.0%100.0%可再生能源屬性(以千噸為單位)137 140 145按地點劃分的化石燃料消耗量2維爾德霍温159 141 184威爾頓111 112 127林口0 0 0聖地亞哥46 40 40 43聖何塞0 0 5臺南0 0 0其他0 8總計316 293 367二氧化碳足跡(單位:千噸)-總量3 2019年2020年2021年目標2025年範圍1-我們運營中化石燃料的直接排放量16.9 15.4 19.3範圍2-能源消費的間接排放141.4 139.8 165.1範圍3-總價值鏈的間接排放量6,500.0 8,400.0 8,800.0總排放量(千噸)-總排放量6,658.3 8,555.2 8,984.4二氧化碳足跡(單位:千噸)-淨3 2019 2020 2021目標2025範圍1-我們業務中化石燃料的直接排放量16.9 15.4 19.3淨零範圍2-能源消費的間接排放量5.3 0.0 20.1淨零範圍3-總價值鏈的間接排放量6,500.0 8,400.0 8,800.0從基線總足跡降低強度比率(以千噸為單位)-淨額6,522.2 8,415.4 8,839.4 1.系統-能源效率根據Semi S23標準衡量,並按我們系統的100%生產力衡量。2.自2021年以來,聖何塞、臺南和其他地區一直在這一指標的範圍內。“其他”包括總時長超過250 FTE的地點。3.《温室氣體議定書》--該組織為排放報告提供了廣泛使用的國際標準--的指導意見被用於計算排放範圍。基於市場的換算係數用於計算範圍1和範圍2的二氧化碳排放量,單位為千噸。為實現聯合國的可持續發展目標,我們在本章所述的雄心壯志、承諾和計劃有助於實現以下可持續發展目標。有關業績的更多信息,請參閲:非財務報表-非財務指標-氣候和能源。SDG目標我們如何衡量我們的績效SDG目標13.1-增強對所有國家與氣候相關的災害和自然災害的恢復和適應能力·我們產品的能效按晶片通行證衡量·可再生電力戰略·範圍1和2排放·優化房地產以提高能效:


ASML年度報告2021年60最大限度地減少浪費和最大限度地利用資源,以從我們使用的材料中獲取最大價值,並在產品的整個生命週期中重新調整其用途。循環經濟每歐元產生305公斤垃圾收入77%材料回收率90%仍在使用的ASML PAS 5500系統(佔總銷量)12億歐元零部件再利用價值我們致力於循環經濟,並確保我們使用的任何材料為我們和我們生態系統中的合作伙伴保留和創造儘可能多的價值。為了最大限度地減少浪費和最大限度地利用資源,我們專注於三個核心戰略:·在我們的運營中減少浪費·重複使用安裝基礎上的部件和材料·通過翻新回收成熟的產品我們循環方法的基石是我們產品的模塊化設計。它使我們能夠在客户現場將系統升級到更高的性能級別,而不必更換整個產品。在最先進的芯片製造工廠使用系統後,我們可以通過翻新系統來進一步延長產品的壽命,將其重新用於其他客户和半導體環境。由於我們的方法,我們在整個產品組合中銷售的近94%的光刻系統仍在客户現場使用,這突顯了我們為循環經濟做出貢獻的能力。我們的循環經濟方法減少回收再利用計劃廢物回收材料零件供應ASML客户廢物處理收集器上游中游下游AS-新計劃延長ASML系統的使用壽命現場升級現場維修


ASML年度報告2021年61在我們的運營中減少廢物在我們的運營中,主要的廢物流是:·非危險廢物,如包裝材料,因升級或缺陷而產生的部件產生的與產品相關的廢物,以及一般廢物。這一類別還包括建築活動產生的建築垃圾。·危險廢物,例如我們在製造過程中使用的化學品廢物流分佈(總計:5878噸)71%6%22%1%非危險廢物回收危險廢物處置非危險廢物處置非危險廢物非危險廢物佔2021年我國總廢物的93%(5483噸),其中絕大多數通過回收被轉移。我們通過幾個持續進行的計劃減少了非危險廢物,例如:·循環IT生命週期:在使用四年後,我們讓所有正常運行的計算機和筆記本電腦獲得了第二次生命。對於有缺陷的計算機,我們回收乾淨的、分離的回收塑料、鐵、鋼、銅、鋁、玻璃和貴金屬。這導致了超過30,000公斤的材料回收,與2020年的24,000公斤相比大幅增長了25%。·靈活的潔淨室:這些潔淨室可以在不同地點之間移動並快速組裝,同時提供與我們目前固定的潔淨室相同的標準和性能。在靈活的潔淨室設置中使用的材料中,超過95%的材料可重複使用,使用壽命超過30年。2021年,我們對五個服務倉庫使用了靈活的潔淨室概念。·其他例子是我們的員工發起的本地垃圾減少倡議,例如塑料回收和在潔淨室使用可重複使用的手套。我們為自己設定了兩個目標,以減少我們的浪費足跡。第一個目標是在2025年將我們的浪費強度-每歐元百萬收入產生的垃圾量-比基準年2019年降低50%。第二個目標是到2025年將我們的材料回收比例提高到85%。這些目標包括危險廢物和非危險廢物。為了實現這些目標,我們正專注於循環採購,提高整個公司的意識,實施(流程)效率和改進項目,並支持員工的倡議。我們優先考慮儘可能減少、再利用和回收我們的廢物的解決方案,而不是將其送往焚燒廠或垃圾填埋場。我們的結果和進展管理我們運營中的廢物是一個複雜的問題,有賴於對進出ASML的廢物流有詳細和準確的瞭解。我們通過適當的分類、分類和安全處理來管理我們的廢物。儘管我們已經制定了監控和測量離開我們場所的廢物的程序,但要洞察我們客户的廢物流要困難得多。2021年,我們在現場的活動中產生了5878噸垃圾,其中77%被回收(2020年為85%)。與2020年相比,垃圾總量增加了近12%(從5,257噸),這主要是由於我們的報告範圍從2020年的20個地點增加到2021年的57個地點,以及公司的增長。需要確定和實施擴大範圍的廢物減少方案,目標是2022年。


非危險廢物分佈(總計:5,483噸)35%25%12%6%6%5%4%3%4%木材一般廢紙和紙板電子金屬其他非危險廢物塑料有機廢物建築廢物危險廢物分佈(總計:395噸)93%4%2%1%其他危險廢物(如包裝、過濾器、燈具等)清潔擦拭電池·建築垃圾:隨着我們擴大業務,我們努力確保建築活動中的垃圾儘可能得到回收利用。建築廢物佔2021年產生的廢物總量的3%(199噸)(2020年為4%),其中85%被回收利用。2021年,我們在維爾德霍温園區增加了三個工作中心和一個物流倉庫。在我們的房地產投資組合管理中,我們應用了BREEAM標準,強調通過材料的循環使用實現可持續性。例如,幾乎所有來自被拆除的灑水盆的材料都在我們的新建築中重複使用,我們將舊的潔淨室套裝回收到會議室的隔音牆板中。為了生產和運營我們的產品和系統,我們需要使用危險物質。2021年,危險廢物佔我們產生的廢物總量的近7%(395噸)。其中,近88%被回收利用。危險廢物可能包括燈具、電池、危險液體、危險材料的空包裝以及清潔濕巾和過濾器。液體,包括丙酮和硫酸,是我們危險廢物的主要來源。有害物質的使用使我們受到與環境保護(以及員工和產品的健康和安全)相關的各種政府法規的約束。其中包括危險物質的運輸、使用、儲存、排放、搬運、排放、產生和處置。


ASML年度報告2021年63重複使用安裝基礎中的部件和材料我們致力於在我們的價值鏈中儘可能重複使用系統部件、工具、包裝和其他材料,以減少和防止浪費並降低成本。我們相信,對價值鏈中的所有人來説,再利用都是一個學習的機會,因此我們與客户和供應商在這方面密切合作。我們的目標是到2025年將ASML工廠和現場的有缺陷零件的重複使用率提高到95%。為了實現這一目標,我們專注於:·在開發的早期階段通過更堅固和可修復的設計進行重複使用設計·回收運輸包裝和發貨給我們客户的材料的重複使用·在當地維修中心進行維修,通過減少根本原因分析和維修的週期來提高部件維修產量·重新制造模塊和部件,使其從現場返回到新的質量·通過拆卸獲得報廢部件,以重新使用子部件2021年的進展和結果我們加快了在重複使用、正規化和結構化過程的許多部分方面的努力。我們的再使用委員會由我們的首席運營官和首席技術官擔任主席,簽署了一項現場維修戰略,在我們當地維修中心的推動下,在可能的情況下促進當地供應鏈中部件的維修。我們將我們的重複使用政策擴展到所有與產品相關的包裝、部件、材料和工具,並創建了一個專門的跨行業重複使用部門,以在全球範圍內推動這一變化。無論從現場返回的部件是正常運行的、有缺陷的還是未使用的,我們都在努力使它們在與新部件一樣好或比新更好的情況下重新投入使用。我們通過加強我們的供應商可持續發展計劃進一步鞏固了我們的重複使用承諾。更多內容請閲讀:我們在2021年的表現-社會-我們的供應鏈。我們已經開始對NXE:3400 EUV系統進行生命週期評估,以獲得設計、開發和製造碳足跡更低的光刻系統的相關見解。在這次評估中,我們應用了生命週期評估模型來計算廢物和減少廢物活動的影響,該模型是我們在2020年開發的。我們計劃在2022年對我們的NXT和EXE光刻系統進行類似的評估。2021年,我們缺陷部件的重複使用率為85%(2020年約為86%)。通過回收節省材料我們在美國聖地亞哥的回收計劃專注於重複使用不斷流動的退回部件。該計劃包括回收設計,提高重新使用和修復組件的能力,以進一步增加部件和材料的循環度,以便它們可以重新用於備件或合併到新的系統構建中。這個項目已經成功運行了十多年。2021年,我們實現節材37.5萬公斤以上。2021年,我們將再利用作為防止浪費的關鍵要素,將其整合到我們的產品生成流程(PGP)中,這將有助於我們實現長期目標。我們的可重複使用設計方法包含五個要素--可靠性、可訪問性、可替換性、可維修性和可再製造性--以實現部件在整個產品生命週期中的重複使用。這意味着重用要求現在是產品設計策略和規範的一部分。例如,通過我們產品及其部件的模塊化設計,我們確保未來的升級、耐磨部件和部件可以作為一個單元進行更換。通過設計部件的共性,它可以在產品的多個環境中使用,甚至可以在未來的產品代中使用。2021年,再利用部門的重點是將再利用嵌入到我們的新產品實施(NPI)計劃中,並在我們的‘反向流動’(從現場返回給我們或我們的供應商的材料)中推動廢物減少。繼續努力解決重複使用執行中的瓶頸問題,並澄清整個業務的方向、指導方針和“重複使用規則”。我們還在尋求進一步完善我們的廢物報告數據。當模塊和系統從我們的供應商發貨到我們的工廠,或者從我們的工廠發貨到我們的客户時,需要使用許多運輸材料,如包裝、鎖定和部件,以確保產品安全到達。這些所謂的輔助部件(插頭、蓋子、夾子、蓋板、法蘭、輔助支架等)在到達時被移走。這些垃圾不是扔掉,而是在使用級別(最高級別的重用)重新使用,從而防止它們最終成為垃圾。在將這些部件送回重新使用之前,它們會經過識別過程和質量檢查,然後是將它們賣回給原始模塊供應商或ASML所需的物流和財務流程。


ASML年度報告2021年64我們正在改進來自現場和工廠的包裝、鎖定和運輸材料的重複使用,目標是在下一次安裝或搬遷中退回和重複使用80%或更多。2021年,超過4300噸運輸材料被重複使用,高於2020年的近4000噸。維修中心我們正在擴大服務部件和材料的本地維修中心,並建立全球工廠材料維修中心。目前在韓國、臺灣和中國都有當地的維修中心,並計劃讓我們所有的客户地區最終都有一個或多個維修中心。我們還將在威爾頓和聖地亞哥(美國)、林口(臺灣)和維爾德霍温(荷蘭)的每個工廠中心建立全球維修中心。通過支持維修和重複使用活動,並擁有現場維修的所有權,我們能夠減少物流時間、零部件庫存和對環境的影響。當一個部件被重新使用時,我們的客户期望它與原來的新部件一樣好,甚至更好。我們為“新”零件設定了高質量標準,並期望供應商參與進來,以達到這些標準。此鑑定標準和要求與新部件的鑑定標準和要求相同,這意味着適用相同的規格、性能要求、保修等。我們現在有超過25家供應商正在進行的75個‘As-New’版本項目。我們的目標是在我們的系統中增加AS-新模塊的使用,以防止功能良好的部件和模塊被不必要的報廢。再利用挑戰和路線圖我們在再利用方面取得了很大進展,並致力於繼續減少廢流。建立一種重複使用的思維模式,並將其應用於正常的工作方式,對於實現重複使用和防止報廢至關重要。例如,通過將工廠中的廢紙箱替換為我們現在所稱的“再利用回收角”,我們鼓勵員工將用過的部件視為有潛力的,而不是被視為廢物。然而,要完全嵌入我們的重用願景,有幾個挑戰需要克服,還有一些流程需要定義。其中包括:·配置控制:在系統中重新使用新部件需要這些部件的可追溯性。這意味着我們需要能夠追蹤它的歷史,它來自哪裏,並知道它被使用和修復了多少次。·組織:在我們的運營中,有各種與退貨和重複使用相關的獨立流程。我們需要將這些與整個端到端重用流程流保持一致。·維修工程和流程:我們新重點的一部分是建立對可重複使用設計的認識,並定義有關如何在重新設計和工程更改中包括重複使用的流程。2021年,在配置控制下,我們通過提高部件的可追溯性降低了我們所説的“生命週期中斷”的風險。我們打算在2022年第二季度末完成這一改進,解決我們目前4%的部件中存在的生命週期中斷問題。我們還提供了一些新的重複使用執行流程,例如‘在供應商收穫’,使我們能夠向供應商發送採購訂單,以獲取嵌入到我們的採購和物流流程中的部件。作為下一步,我們定義了五個優先事項。這些措施包括新產品之前的再使用規劃、供應商再使用激勵和自主性、高質量的逆向物流、在我們的產品生成流程(PGP)中進一步嵌入再使用,以及在ASML和供應商之間發起再使用變更和溝通活動。通過翻新回收成熟的產品一個維護良好的ASML光刻系統可以持續數十年,並可供多家工廠使用。許多ASML光刻系統從尖端的製造廠開始--一旦製造廠需要升級,光刻系統就會在製造商需要相對不那麼複雜的芯片(如加速計或射頻芯片)的製造廠獲得新的生命。我們成熟的產品和服務(MPS)業務專注於以下產品系列的翻新:PAS 5500(在全球客户地點約有1800個系統)、TWINSCAN XT系統,以及截至2021年的NXT:1950-1980系統。我們的翻新戰略側重於回購不能在現場運行的系統,從退役系統中收集部件,並管理備件的持續可用性,這是我們為系統提供延長生命週期服務的關鍵。我們為我們的客户提供至少到2030年的保證服務路線圖。這意味着,他們維護系統所需的所有支持以及必要的服務和備件預計將至少在2030年及以後可用。對於仍在運行的TWINSCAN AT系統,我們將重點放在採取措施,通過盡最大努力確保儘可能長時間地提供備件,來主動管理其壽命結束。我們在2021年的表現和進步ASML的PAS 5500平臺在推出30年後仍然充滿活力。目前,我們建造的PAS 5500系統中有90%仍在使用中,


ASML年度報告2021年65在亞洲推出MPS客户門户基於網絡的部件訂購門户對成熟產品和服務(MPS)的商業模式起到了重要作用,在控制成本的同時提供了最佳的客户體驗。2021年6月,繼在美國和歐洲取得成功後,在線MPS客户門户網站在亞洲上線。該門户旨在促進ASML的可計費和批量部件合同(VPC)部件銷售。與以地區樞紐為基礎的物流服務相結合,它為我們的客户創造了一個高效而有價值的銷售渠道,最大限度地減少了手動步驟和潛在的延誤。根據地點的不同,客户可能會在幾天內送貨,甚至--如果是臺灣和韓國的加急訂單--幾個小時內就會送到。無論是作為翻新的工具還是以其原始配置。PAS平臺被用於廣泛的利基應用,從傳感器到功率芯片,甚至是改變生活的植入式醫療設備。到2021年,我們已經翻新和轉售了500多套光刻系統。2021年,我們慶祝了翻新的TWINSCAN第100次,這也是我們的TWINSCAN翻新計劃20週年。新挑戰-翻新和升級第一代NXT 2021年,成熟的產品和服務(MPS)業務線開始面臨新的挑戰,除了PAS 5500和XT系統外,還將翻新和升級第一代NXT光刻機。隨着NXT平臺確立了其作為半導體行業主力的地位,仍有200多個第一代NXT在世界各地的客户現場進行生產。為了支持半導體制造能力的急劇增長,特別是在要求不那麼先進的超過摩爾的市場,ASML回購這些系統,將它們翻新成新一代系統的規格,並將它們出售給不需要更先進機器提供的規格的客户。這使客户能夠購買價格誘人的工具,該工具將支持他們所需的擁有成本目標,同時有助於ASML最大限度地減少浪費和最大化資源的承諾。確保部件供應我們正在進行大量投資,以確保我們的PAS平臺繼續供應超過2,000個服務部件,無論是通過重新設計、部件收集策略,還是通過尋找具有相同形狀、貼合和功能的替代方案。如果這不起作用,我們通常能夠通過Last Time Buy確保零部件的安全--供應商在將生產切換到後續產品之前對某個部件或部件進行的最後一次調用。隨着時間的推移,當部件不再可用時,我們會重新設計部件。我們跟蹤我們的產品組合中的備件,看看它們是如何使用的,並確定我們預計何時會用完這些備件。對於PAS系統,我們使用此信息來更新重新設計部件的優先級。對於AT系統,我們試圖通過從客户退役的系統中獲取部件來繼續供應部件。為了確保下一個十年的備件供應,我們需要用基於最先進技術的部件取代許多用1980年代和1990年代的技術設計的不可用部件。這涉及到對這些部件進行徹底檢修。在接下來的幾年裏,我們已經確定並計劃執行近300個部件的100多個重新設計項目。這與電子零部件尤其相關,因為電子零部件的技術發展速度比其他任何領域都要快。


ASML年度報告2021年66循環經濟關鍵績效指標下表顯示了關鍵績效指標(KPI)和相關的2025年目標。更多內容請參閲:非財務報表-非財務指標-我們業績指標(PI)和相關結果的循環經濟。由於計量方法和應用的假設的限制,非財務數據可能包括一定程度的不確定性。更多內容請參閲:非財務報表-關於非財務信息-報告指標。KPI 2019 2020 2021目標2025產生的總廢物歸一化為收入(公斤/百萬歐元)1 417 360 305-2019年基線材料回收的50%(佔總廢物的百分比)1 80%85%77%85%ASML PAS5500系統仍在使用中出售的290%90%90%n/a部件重複使用的價值(歐元,以百萬為單位)n/a 1,151 1,236 1。建築廢物不包括在此指標的計算中,因為這些廢物不是由ASML的日常運營造成的。建築垃圾的數量多年來往往是波動的,因此可能會使該指標的趨勢不明朗。2.由於2020年的定義更改,KPI以銷售的PAS5500系統為基礎。對於其他考績制度,無法確定使用狀況,主要是因為服務合同已經終止。為實現聯合國的可持續發展目標,我們在本章所述的雄心壯志、承諾和計劃有助於實現以下可持續發展目標。有關業績的更多信息,請參閲:非財務報表-非財務指標-循環經濟。可持續發展目標我們如何衡量我們的績效可持續發展目標12.2-到2030年,實現自然資源的可持續管理和高效利用·材料回收·促進循環採購可持續發展目標12.4-到2020年,根據商定的國際框架,實現對化學品和所有廢物在其整個生命週期中的環境無害管理,並大幅減少其向空氣、水和土壤的排放,以最大限度地減少其對人類健康和環境的不利影響·RoHS/達到已使用部件的達標SDG目標12.5-到2030年,通過預防、減少、回收和重複使用·減少浪費·增加我們產品中部件和模塊的重複使用·延長使用過的系統的使用壽命·重複使用包裝


ASML年度報告2021年67社會我們的目標是在社會中發揮積極作用-為我們的員工、我們周圍的社區以及參與我們的創新生態系統和供應鏈的每個人。


ASML年度報告2021年68員工30,842 FTE員工總數117,230歐洲7,430亞洲6,182美國5僱主品牌排名6荷蘭6臺灣14韓國133美國148中國授權個人為集體利益而努力,確保我們的員工為我們工作感到自豪,並實現我們作為公司的雄心壯志。78%員工敬業度得分5.4%流失率(上市)1,包括柏林Glas(ASML柏林GmbH),這在我們的非財務報告中尚未計算,員工總數為32,016 FTE。如果沒有我們敬業、多元化和高能力的勞動力,突破技術的極限是不可能的。我們的員工對我們組織的業績和我們作為一家公司的長期成功至關重要。除了努力吸引世界頂尖人才外,我們還需要專注於幫助他們充分發揮潛力,在一個他們為我們工作感到自豪並與我們作為一家公司的雄心壯志打交道的環境中。我們在ASML繼續經歷着強勁的增長。在過去的五年裏,我們的員工人數幾乎翻了一番。儘管疫情仍在繼續,但我們在2021年度過了不平凡的一年,員工數量(全時當量)增長了16%以上,收入增長了30%以上,產品產量增長了20%以上。這種快速增長也帶來了挑戰。我們的組織變得更加複雜,我們的員工隊伍更加多樣化,我們客户和利益相關者的期望也在不斷增長。我們的員工認為,我們不斷增長的員工隊伍的需求正在發生變化,這需要一個環境和工具來支持更多樣化和相互依存的團隊中的協作、知識共享和自治。與此同時,我們還必須繼續履行我們對利益攸關方的承諾,管理我們的日常挑戰,以吸引、加入、發展和留住我們的人才。我們已經通過闡明我們的目標、願景、使命、價值觀和領導期望奠定了堅實的基礎。為了在未來保持成功,我們研究了我們的優勢如何轉化為我們目前的現實。因此,我們將我們的員工願景定義為:我們相互賦能,繁榮發展,推動我們的增長、幸福和商業成功。ASML的人的願景闡述了我們對未來的雄心,支持我們的價值觀和我們所代表的東西。在這一願景中,整個組織的每個人都扮演着重要的角色。我們實現長期員工願景的途徑體現在我們的員工戰略中。在接下來的五年裏,我們的路線圖將重點放在三個關鍵領域:·激勵統一的文化,以我們的價值觀為指南針,指導我們的決策和行為,以實現我們的戰略·提供儘可能好的員工體驗,使我們能夠吸引、培養和留住最優秀的人才·使我們的領導層能夠通過信任、授權和問責發揮最好的人才,通過領導信任、賦權和問責,我們推動幾個關鍵計劃,旨在為員工提供更多自主來指導他們的發展和職業抱負,並使我們的領導人能夠支持公司的發展。比以往任何時候都更加統一的文化,我們需要注意將ASML的身份深深地固定在組織中,以幫助我們的員工接受我們的價值觀並提供統一的方向


ASML年度報告2021年69員工體驗我們相信,多元化和包容性的員工隊伍提供了創新和推動業務向前發展所需的聲音和觀點的必要組合。我們培育了一種文化,在這種文化中,不同的身份、背景、才華和激情受到重視和讚揚。因此,我們希望在我們的所有站點為員工提供儘可能好的員工體驗,使他們能夠發展自己的才華,感受到尊重並盡其所能地工作,並使我們能夠吸引和留住最優秀的人才。員工體驗是在員工生命週期的每個階段,從吸引人才、入職到自然流失,員工通過與公司互動而獲得的所有體驗的總和。為此,我們專注於僱主品牌和員工敬業度。員工敬業度取決於各種各樣的因素和活動,例如人才的吸引和留住、入職經驗、學習和發展、多樣性和包容性、公平薪酬和勞動條件等勞動實踐以及領導力。這些計劃對整體員工體驗的總體影響通過我們的WE@ASML員工敬業度調查來衡量。僱主品牌化隨着對頂級人才的需求逐年增加,僱主品牌化是確保ASML獲得這一人才份額的重要戰略。我們的強勁增長意味着我們需要僱傭大量員工。具有技術背景的高技能人才在勞動力市場上稀缺,競爭日益激烈。我們看到,頂尖人才選擇他們選擇的僱主,而不是反過來。這是員工選擇未來僱主的一般發展過程,對員工來説,潛在僱主擁有合適的價值主張是很重要的。熟悉我們公司的戰略和宗旨。我們的公司價值觀--挑戰、協作和關懷--確保我們所有人都在共同理解的基礎上工作,這種基礎可以應用於整個組織,幫助我們做出讓我們忠於自己的選擇。它們還允許團隊討論這些值重疊的自然摩擦區域。例如,通過確保讓我們走到這一步的創始人的特質(堅持不懈、‘能做’的心態和相信一切皆有可能)與適當的謹慎程度相平衡。嵌入我們的價值觀是一個持續的旅程,但我們的目標是通過每天應用這些價值觀來取得成功。在我們核心價值觀的基礎上,我們應用六項員工原則--明確和負責、持續學習、包容、有利環境、個人成長和信任--來指導和激勵我們的員工決策,以充分發揮員工的最大潛能。我們的進展除了早些時候部署的使我們的價值觀現在和未來變得有形的持續舉措外,我們還在2021年啟動了“將價值觀付諸行動”計劃。與以往的獨立年度活動,如“祝你安全”、“道德周”、“可持續發展周”和“志願者博覽會”不同,我們制定了一個持續的計劃,包括一系列活動,通過環境、社會和治理(ESG)主題的鏡頭探索價值觀。在每一次活動中,我們都要求我們的高級領導人概述他們的計劃、雄心和承諾,以確保我們踐行我們的價值觀。2021年,我們圍繞心理健康安全、ASML基金會、5條救生規則、暢所欲言和綠色能源等主題,開展了多項《價值觀在行動》活動。ASML


ASML年度報告2021年70促進在臺灣的招聘和分享創新ASML繼續擴大在臺灣的業務,為不斷增長的客户羣提供最佳的支持服務,並優化測量和檢驗產品的研發支持能力。為了實現ASML雄心勃勃的路線圖,從市場中吸引最優秀的人才至關重要。2021年3月和4月,ASML的創新體驗卡車在臺灣主要大學校園的巡迴旅行中上路,以促進工程師的招聘,擴大我們的客户和研究支持團隊的能力。通過增強現實技術和互動體驗,未來的工程師可以熟悉先進的光刻技術和高科技的EUV光刻機。我們將招聘視為一個持續的過程,並不斷尋求改進和專業化我們進行招聘的方式。我們利用這些信息來微調我們的目標受眾和招聘工作。我們的業績和進步我們根據我們的主要經營地點--荷蘭、美國、中國、臺灣和韓國--來衡量我們的僱主品牌。我們通過監測我們在一個獨立的外部僱主品牌排名中的位置來衡量ASML在外部受眾--尤其是潛在員工--中的看法。我們已經為不同的當地勞動力市場制定了到2025年的定位目標。我們繼續在我們的公司網站上改善我們的僱主品牌和價值觀,讓人們更好地瞭解我們作為僱主所做的事情和我們所代表的立場。與2020年相比,2021年,除了美國以外,幾乎所有主要地區都出現了良好的改善,這可以從受訪者在學習領域、大學和地理位置方面的組合來解釋。然而,我們在美國的業務被列入了《新聞週刊》與最佳實踐研究所(BPI)合作的2021年最受歡迎工作場所100強排行榜。該排行榜直接關注員工對僱主的好感程度。我們很高興獲得這一認可,因為我們努力為員工創造儘可能好的員工體驗。閲讀更多內容:2021年我們的人員KPI,旅行限制和大型團體聚會限制了我們與未來人才面對面交流的能力。各種計劃的活動要麼被推遲,要麼被改編成虛擬空間。互聯網比以往任何時候都更是交流的最佳平臺。我們的勞動力市場溝通團隊正在不斷努力,優化我們在網上接觸、告知和吸引目標受眾的方式。為了充分利用招聘工作,我們為職位發佈提供便利,並管理ASML在在線社交網絡渠道上的存在。我們還通過在線廣告宣傳ASML僱主品牌。員工敬業度員工敬業度對我們組織的績效和公司的長期成功至關重要。我們使用WE@ASML員工敬業度調查來衡量我們的活動對整體員工體驗的總體影響。We@ASML調查我們的年度WE@ASML調查是收集和衡量員工反饋的重要工具。它提供了洞察力,使我們能夠改善員工體驗,並在我們的政策和流程上工作。我們為自己設定的目標是實現員工敬業度得分至少與同行持平。在整個新冠肺炎疫情期間,阿斯麥的員工為繼續我們的業務、服務我們的客户和確保我們的路線圖做出了令人欽佩的工作。我們知道他們經歷了流行病疲勞症、混合工作和我們員工基礎的快速增長以及客户需求不斷增加的壓力,我們預計這將影響我們的員工敬業度得分。為了瞭解這些影響,並讓我們能夠制定改善行動,2021年的調查提出了更多關於幸福感主題的問題。為了衡量我們的價值觀在組織中的根深蒂固程度,調查還包括了關於我們的文化和價值觀的問題,這些問題超出了“什麼”到“如何”的範圍。我們的表現和進步我們成功地在充滿挑戰的環境中創造了一個積極的工作環境,但在我們的關鍵改進領域沒有取得可衡量的進步。在我們的2021年We@ASML員工敬業度調查中,我們再次看到了良好的結果,並收到了寶貴的反饋


ASML 2021年年度報告71有待改進。2021年參與度調查得分為78%(2020年為80%),比我們76%的外部全球基準高出2個百分點。總體而言,我們得出的結論是,ASML仍然擁有高度參與度的人羣。人們為為ASML工作而感到自豪。其他我們得分較高的領域是,例如,良好的工作環境,良好的團隊精神,尊重和開放的溝通,以及學習和成長的機會。然而,正如預期的那樣,由於2021年的動態,參與度分數下降了。制定防止經濟進一步下滑的行動計劃是我們的當務之急。儘管我們不斷關注和執行改進行動,但我們仍然看到2020年和2019年調查的三個領域,即:支持流程、跨團隊協作和預期的清晰度,因為我們的得分仍遠低於外部基準。2021年的結果也表明,我們需要更加關注福祉。解決這四個領域是我們2022年的重點工作。人才吸引和留住我們在2021年僱傭了4,373名新的薪資員工,到年底我們的員工人數增加到30,842人。與2015年底僱傭的14,681名全職員工相比,我們的員工數量增加了一倍多。雖然自然減員可能會打開公司的知識缺口,但我們也將其視為引進新人才和提高現有人才的機會。我們努力保持健康的流失率(員工離職的百分比),目標是每年的流失率為3.0-8.0%。對於高績效員工,我們的目標是員工流失率至少比總流失率目標低50%。2021年,我們的整體流失率為5.4%,高績效員工的流失率為2.6%,兩者都在我們的目標範圍內,低於我們運營的每個國家的行業平均水平。2021年,員工流失率從2020年的3.8%上升至5.4%,這一年受到新冠肺炎疫情的影響,當時人們不太傾向於尋找其他工作。我們將這一增長歸因於疫情的影響,許多行業的全球員工短缺,以及提供大量就業機會的蓬勃發展的半導體行業。儘管如此,我們認為,我們為創造獨特的員工體驗所做的努力、我們的員工敬業度計劃以及新員工的入職培訓都得到了回報。員工S(FTE)流失率我們的勞動力趨勢13,991 16,219 20,044 23,219 25,082 28,7472,656 2,997 3,203 1,681 1,399 2,095 16,647 19,216 23,247 24,900 26,481 30,842薪資員工(FTE)臨時員工(FTE)總流失率2016 2017 2018 2019 2020 2021 0 5 000 10,000 15,000 25,000 30,000 35,000 0 1 2 3 4 5 6 7 8 10 10入職隨着我們的全球勞動力呈指數級增長,入職是我們的主要優先事項之一。2021年,我們迎來了3萬名員工。積極的入職體驗建立了一種連接感,幫助員工快速適應,並提高了員工的忠誠度。我們相信,入職是一項共同的努力,由每個人推動。隨着2021年新冠肺炎疫情的持續,我們的新員工入職仍然是虛擬的,為新同事提供儘可能好的開端。例如,ASML入職活動是人力資源部組織的半天介紹活動,目的是讓新同事感到受歡迎,瞭解更多關於ASML的知識,並與其他新同事建立聯繫。在小組中,新同事一起工作,瞭解ASML的產品、技術、組織、客户和計劃。業務部門和職能部門繼續建立在我們的全球入職計劃基礎上,確保我們在整個公司提供一致的體驗,進一步為不同的部門量身定做。上圖中2020年和2021年的FTE不包括通過收購柏林Glas(ASML柏林GmbH)獲得的FTE。


ASML年度報告2021 72為了衡量新員工如何評價他們的入職體驗,我們在他們入職過程的每個階段進行了脈搏調查,從感覺到受到歡迎、參與、裝備,到感覺到他們是ASML的一部分。平均而言,89%的新員工表示他們有積極的經歷。他們也認為在入職期間從經理那裏得到的支持是非常積極的。我們感到自豪的是,我們的經理採取了額外的努力,以確保在遠程工作時獲得積極的入職體驗。在一個創新、高科技、快速變化的行業中學習和發展,加強並不斷投資於我們的人才庫,以預見不斷變化的業務需求和勞動力市場的發展,是至關重要的。我們使我們的員工能夠發展他們的才華,追求他們的職業抱負,並取得成功。我們堅信,當我們的員工能夠對自己進行投資時,個人發展會取得最好的效果。在ASML,我們為員工提供時間、機會和支持,同時他們投入必要的努力、激情和動力來促進他們的發展。我們提供量身定製的培訓和發展計劃,以幫助培養我們在ASML僱用的高技能專業人員。培訓為了保持我們的技術領先地位和創新步伐,我們需要確保我們的員工在正確的時間獲得正確的知識。為了做到這一點,我們擁有自己的內部技術開發中心,為我們的研發、客户支持和製造員工量身定做培訓,以滿足這些部門的特定技術需求。鑑於我們協作創新業務的性質,我們的大部分培訓都是在工作中進行的。總體而言,我們正在推廣70-20-10學習幹預方法,這意味着70%是在職學習,20%是通過教練學習,10%是通過培訓課程學習。2021年,包括髮展計劃在內的最後一個類別的平均培訓小時數為每FTE 29小時。2021年,我們繼續在可能的情況下增加虛擬培訓。我們不得不推遲一些發展活動,因為需要把不同的部門和國家聚集在一起,這些活動具有很強的聯網成分。由於旅行限制和不同時區,這些活動是不可行的。此外,我們繼續致力於重新設計具體的發展計劃,以在遠程培訓和麪對面培訓之間建立有效的組合,將來自不同地點的人聚集在一起,並使培訓更易於在線接受。職業發展機會我們正在不斷探索如何改進我們如何幫助員工在ASML中尋找職業發展機會。我們提供各種職業道路,並有各種工具來支持我們的員工的職業導航。兩年前,我們開始討論和思考我們的績效管理方法和理念如何更好地與我們的文化和價值觀保持一致。這構成了對公司績效管理未來的更廣泛展望的一部分。與我們的執行委員會一起,我們開始定義如何更根本地做到這一點。2021年,我們努力重塑績效管理流程,並將其嵌入到新工具中,該工具於2022年1月上線。多樣性和包容性我們為成為一個文化多元化的組織而感到自豪,擁有來自122個不同國籍的員工。多樣性和包容性增強了我們的創新能力、創造性和解決問題的能力,並提供了一個讓員工感受到價值、挑戰專業成長併為我們的共同目標做出貢獻的環境。自2020年以來,我們一直在制定和正規化我們的多樣性和包容性方法。我們在2021年成立了全球多樣性與包容理事會,該理事會由代表ASML採取行動的高級領導人組成,提供思想領導。理事會由管理委員會的一名成員擔任主席,向管理委員會提出多樣性和包容性戰略,制定、促進和監測多樣性和包容性倡議,並推動全公司對其目標的問責。我們的多元化和包容性戰略包括以下內容:·通過讓機會更可見和更容易獲得來吸引更多的人才庫·創建共享指標以更清晰地評估進展·確保包容性領導行為嵌入我們的文化·在我們的人才實踐中包含不同的視角·為員工提供更多參與和推動其職業生涯的方式我們的目標是代表現有的熟練勞動力。創造一種環境,讓所有人都感到受歡迎,知道自己的歸屬感,並看到擺在他們面前的職業道路,這需要組織各級的多樣性。我們的目標是通過培養一種包容所有人的文化來增加我們勞動力的多樣性。我們的員工調查@ASML每年都會衡量包容程度。2021年,我們的納入得分為83%,而全球表現最好的公司的這一比例為82%。我們的目標是在持續的基礎上達到或提高員工的包容性。要做到這一點,我們設定的目標是在2024年與這份比較公司名單中排名前25%的公司取得+/-3%的平杆得分。


ASML年度報告2021年73公平薪酬我們希望我們的薪酬公平和平衡。在我們的薪酬政策中,我們致力於性別平等,我們努力實現全球一致性,同時尊重當地市場的普遍做法。我們不斷審查我們的薪酬與我們運營的每個地區的技術專業人員的市場基準相比如何,並在必要時改變我們的薪酬政策和水平。每年,我們都會分析薪資中的性別差異。2021年,和前幾年一樣,我們發現這些工資沒有太大差異。更多內容請參閲:非財務報表-非財務指標-我們的員工。在ASML,我們致力於滿足適當的生活工資要求,這意味着員工賺取的工資既能滿足他們及其家人的基本需求,又能提供一些可自由支配的收入。我們公司擁有一支受過高等教育、薪酬水平相對較高的員工隊伍。2020年,作為兩年週期的一部分,我們進行了一項分析,將我們的最低基本工資與我們運營的國家和地區的當地最低工資和當地的“最低生活工資”進行了比較。我們沒有發現任何差距。平均而言,我們的工資遠遠高於當地最低生活工資。該分析計劃在2022年進行更新。勞動關係我們希望為我們所有的員工提供公平的勞動條件和社會保護,無論他們在哪裏,也無論他們是固定合同還是臨時合同。我們支持國際勞工組織(勞工組織)的原則,我們尊重所有僱員自行組建和參加工會、集體談判以及參加和平集會的權利。我們努力遵守我們開展業務的每個國家的相關法律。在那些我們有員工代表的國家,我們與代表我們員工的不同組織定期進行對話。在這些對話中,話題由公司和員工代表提出並討論。我們沒有在限制ASML員工的結社自由和集體談判自由的國家開展業務。在荷蘭,我們已經申請了Metalektro集體勞動協議(CLA)的許可,以便制定我們自己的CLA。我們在全球市場的獨特地位,我們的規模和增長,我們非常獨特的員工羣體,以及我們為交付我們的產品而帶來的廣泛的能力和活動,創造了我們在勞動條件下對自己方向的需求。未來ASML CLA的目的是提供一套與我們所有員工的多樣性和需求相匹配的勞動條件。ASML美國多元化理事會成立於2020年,是一個顧問委員會,負責管理多元化和包容性(D&I)計劃,如員工網絡、多元化活動以及全美各地的認可和教育計劃。2021年,多樣性活動和教育工作流程以及美國多樣性理事會贊助了許多外部演講者,以提高人們對具有重要文化意義的節日和慶祝活動的更廣泛認識和了解,包括黑人歷史月、驕傲月、拉美裔傳統月和退伍軍人節。超過3,000名員工累計參加了超過15項多元化活動。委員會還支持在美國發展兩個新的員工網絡:黑人、土著和有色人種陰影(BIPOC)及其盟友,以及一個新的退伍軍人組織。2021年,我們在全體員工和高級管理人員的性別多元化方面取得了進展。女性員工現在佔我們全球員工總數的18%。與去年相比,這一改善增加了1%。我們的目標是在邁向2024年的過程中加強這一趨勢。我們認為,解決這一問題的最有效方法是專注於我們現有團隊成員的增長,並擴大我們人才庫的多樣性。我們已經制定了目標,到2024年將女性的招聘比例從2021年的20%提高到23%。我們在這一領域仍有工作要做,並已制定了側重於女性領導層的具體目標。目前女性在這一級別的比例為8%,我們的目標是到2024年達到12%。為了實現這一目標,我們制定了一個目標,將女性領導者的招聘比例從2021年的12%提高到2024年的20%。我們相信,這些人才庫將成為榜樣,為更多人鋪平道路。我們的雄心是讓我們的員工隊伍更加多樣化,因為我們相信這是吸引和留住聰明人才的最佳方式之一,以幫助我們推動技術創新,以滿足客户的需求。總體而言,全球STEM(科學、技術、工程和數學)人才庫稀缺,招聘女性人才更具挑戰性。我們的研發人員中有15%是女性。近90%的工作崗位與STEM相關,而高科技行業的同行有更多樣化的、與STEM無關的工作崗位。ASML非常希望看到更多的女性現在和未來在工程和科學領域追求職業生涯。我們行業的高度專業化意味着實現這種平衡是一個長期的過程。我們正在積極參與多個教育項目,以擴大渠道,部署多項倡議,在未來的女性人才庫中促進STEM教育,並繼續營造一個使我們當前的勞動力能夠蓬勃發展的環境。


ASML年報2021年74年的強大領導力要保持市場領先地位,就必須提供統一的方向。這意味着我們需要真正的領導層,讓我們的人民清楚地知道ASML的發展方向。這為我們所有人提供了為ASML的成功做出貢獻併產生影響的巨大機會,這對我們的領導人來説也是一項相當具有挑戰性的工作。隨着我們公司的發展,對角色和期望的清晰度的需求也在增加。領導者需要在這方面發揮作用,為員工提供角色清晰,以及明確自己的角色和責任。我們繼續努力更清楚地闡述和捕捉這一點,以便我們的人民能夠理解對他們的期望。我們的領導力框架於2020年推出,概述並闡明瞭領導者在商業領導力中的角色,在公司內部樹立價值觀的角色,以及作為員工的人員經理和教練意味着什麼。領導力都是關於人的。領導框架·樹立價值觀·自我發展和更新·展現勇氣·個人幸福榜樣商業領袖人民領袖教練·擁有你的內容·端到端行動·建立利益相關者關係·展示商業敏鋭性·連接·使能·發展·信任·創造環境·適應形勢·分享願景和設定方向·使之成為現實挑戰關懷協作商業領袖個人廣告2021年羅勒模特教練,我們繼續部署行為能力培訓、教練計劃和實踐指南,以激勵和促進個人發展。我們有領導力課程,通過我們的潛在加速計劃,我們快速跟蹤我們最有前途的經理的職業生涯。這些計劃確保我們的經理們意識到對他們的期望,並幫助他們發展成為更好的領導者所需的技能和能力。2021年,經過密集的協商,開始了與工會的談判。新的CLA將與Metalektro代表的工會密切合作制定。一旦我們有了新的CLA,我們將繼續在我們自己的CLA框架內與工會就勞動條件進行合作,並保持我們在各種勞工組織中的積極成員資格,如FME和PME。遠程工作政策我們希望對人們的福祉、他們的生產力和工作與生活的平衡產生積極影響。在辦公室工作和麪對面交流可以刺激團隊內部和團隊間的創新和最佳協作,這也是我們工作方式的起點。在大流行期間,團隊表示需要面對面地會面,共同解決問題,並朝着共同的目標保持一致。我們還認識到,忙碌的辦公室可能不是專心工作的最佳場所,所以在偏遠辦公室安靜地工作可能更適合某些任務。從根本上説,ASML相信員工自己能夠最好地管理自己的工作。另一方面,經理負責有效地組織團隊的工作方式和組織。這意味着員工和經理都對我們的遠程工作政策下的選擇負有共同責任。我們的目標是為ASML員工及其經理提供明確的指導和幫助,幫助他們在遠程工作和在辦公室工作之間做出正確的選擇。遠程工作既不是強制性的,也不是一種權利。作為一項全球指導方針,如果工作允許,員工每週最多可以遠程工作兩個工作日。某些工作或部門可能會有例外。


ASML年度報告2021年75確保ASML的員工安全,安全不僅是優先事項,而且是先決條件。這是我們日常工作中不可或缺的一部分,也是我們領導他人的方式。我們盡我們所能為我們辦公場所的每個人提供無傷害和健康的工作條件,並確保我們的所有操作都是安全的。這包括員工、承包商、供應商、客户和訪客。我們彼此依靠--我們每個在ASML工作和為ASML工作的人--分享這一承諾,因為我們共同努力,確保彼此的安全。2021年,新冠肺炎疫情的持續性影響仍然深入到每個角落,影響到全球人民和我們業務的方方面面。我們的優先事項沒有改變:我們的首要重點一直是確保我們在世界各地的同事及其家人的安全。我們的第二個目標是確保我們為客户提供卓越的服務。我們遵守政府的所有指導方針和安全措施。企業危機管理團隊經常向員工提供新冠肺炎的最新情況和我們的應對措施。2021年,我們在全球範圍內推出了多項福祉計劃,以解決在家工作的人們的身心健康和情感健康問題。我們的員工安全策略我們相信,所有與工作有關的傷害和職業病都是可以預防的。因此,我們正在努力實現零傷害和工傷相關疾病的長期目標。完全消除風險是不可能的,但我們可以在所有層面上積極主動地識別工作場所的潛在問題或擔憂,並制定措施來減少這些問題或擔憂。我們盡我們所能將風險降至最低,為我們的員工提供正確的保護、程序和流程以確保他們的安全是我們的責任。我們的目標是預防職業健康和安全事件。為了根據行業標準對我們的性能進行基準測試,我們使用了0.20的目標可記錄事故率,這代表了世界級的性能。但我們持續的抱負是零,這推動了我們在流程、工作條件和員工行為方面的不斷改進。為了實現這一目標,我們專注於EHS管理體系、安全文化和培訓。一個例子是“安全Gemba步行”,經理們會參觀員工的工作場所。這有助於我們提高安全績效和加強安全文化。新的全球舉重訓練趨勢分析和過去的舉重(險些失手預期)事件和良好的接球構成了新的、專注於軟技能的、遊戲化的全球舉重訓練團隊成員訓練的基礎。這種以人為本的有效趨勢設置通過使用混合學習方法、及時的工作場所學習和現代技術,以高效和有吸引力的方式促進了安全的工作環境。本次EHS起重培訓將為起重操作員提供更深入的起重工具專業安全培訓框架,併為參與起重行動的其他人提供起重活動意識。通過事件報告和事件調查吸取的經驗教訓的結果提高了我們EHS培訓解決方案的質量和影響,有助於將ASML的安全文化提升到新的水平。這些計劃的影響在我們的員工對2021年We@ASML調查的迴應中最為明顯,在調查中,74%的員工表示,他們認為他們的經理角色以一種平衡的方式模擬了ASML的三個價值觀-挑戰、協作和關懷。


ASML年度報告2021年76管理安全的工作場所我們致力於建立完善的EHS管理體系。我們採用儘可能高的專業標準,持續改進是我們管理體系的關鍵原則。我們的EHS管理體系是以國際標準化組織45001標準為基礎的,並符合其要求。我們成立了一個企業EHS委員會,由我們的首席運營官擔任主席,負責監督和批准ASML的EHS戰略,並領導EHS管理體系。我們的直線經理負責日常的EHS管理。我們的EHS能力中心收集最佳實踐併為ASML定義EHS標準,幫助我們的經理在工作場所實施這些標準。我們的員工和產品安全承諾包含在我們的可持續發展政策中,該政策適用於ASML全球範圍內。此外,我們的ASML EHS指南旨在為我們的員工、承包商和任何其他為我們工作的人提供實用、有用和必要的信息。該指南旨在創建意識和所有權,解釋了我們的目的和目標,並清楚地描述了我們所遵循的規則和政策。事故和風險管理是我們的EHS管理體系的關鍵要素。我們記錄並調查所有事件和險些發生的事件,以確定根本原因並採取糾正措施,防止它們在未來再次發生或發生。我們定期進行危險和風險評估,重點是防止員工暴露在化學品、火災、輻射、機械操作和人體工學風險等潛在危險中。這些為我們提供了對ASML主要危險和風險領域的進一步洞察。然後,我們可以採取適當的行動來緩解這些風險。我們通過EHS內部審核確保持續改進。加強安全文化建設2020年,我們出臺了五條救命安全規則,創造了更安全的工作場所,提升了我們的安全績效。尊重和遵守這些規則不僅可以拯救生命,還可以讓我們集體更多地意識到整個組織的安全風險。2021年積極和一致地部署這些規則導致了更高的認識、更好的洞察力和改進行動,如改進的程序、工具和教育。在ASML,向我們的員工和任何其他獨立訪問我們的辦公場所和客户地點的人-包括承包商和供應商-告知我們的安全文化並提高對這些文化的認識是標準做法。培訓是我們準備和告知員工這一點的方式之一。我們的結果和進展我們根據美國《職業健康與安全法案》登記與EHS相關的事件。我們的可記錄事故率從2020年的0.18降至2021年的0.17,表現優於電子行業0.20的基準。可記錄事故率是指每100 FTE中一年內急救以外的可記錄病例數。與往年一樣,我們沒有記錄任何與工作有關的死亡或永久性殘疾。安全不僅僅是程序、規則和正確的設備,還包括人類的心態、行為、態度和習慣。遵循五項安全規則,我們部署了各種針對部門的宣傳計劃。例如,我們一直在D&E推出Hein®安全運動,幫助我們發展共同的安全語言和對話。研討會和培訓在許多集羣中進行,有許多有趣的討論和對我們的安全行為的見解。2021年,我們擴展了EHS基礎計劃,增加了新的安全培訓模塊。從2021年9月起,預計將在無塵室工作的新員工將必須完成EHS無塵室基礎知識,這是一個旨在為新員工安全進入、離開和在ASML無塵室工作做準備的培訓模塊。到2021年年底,95%的合格候選人已經完成了這項強制性培訓。我們還計劃在2022年初對我們公司的安全文化進行全公司範圍的重新評估,以驗證我們的安全文化轉型計劃是否具有正確的效果,並對我們需要在哪裏加強提供見解。為了提高我們的EHS績效,我們鼓勵員工在遇到安全風險時直言不諱。如果員工感到不安全,他們有權停止工作。與他們的經理和EHS專家一起,將定義一種安全的工作方式,以便工作能夠恢復。


ASML年度報告2021年77我們的人員關鍵績效指標下表顯示了關鍵績效指標(KPI)和相關的2025年目標。更多請閲讀:非財務報表-非財務指標-我們的績效指標(PI)和相關結果的人員。由於計量方法和應用的假設的限制,非財務數據可能包括一定程度的不確定性。更多內容請參閲:非財務報表-關於非財務信息-報告指標。KPI 2019 2020 2021 Target 2025敬業度得分We@ASML調查77%80%78%與同行僱主品牌排名1荷蘭10 10 6前10美國-99 133前75中國-168 148前100臺灣-22 6前20韓國2 19 24 14前20 1.優信僱主品牌排名:工程專業學生。2.自2021年起,優信不再對韓國進行總體排名。2021年報告的結果是基於定製的排名報告。目標2025指的是總體排名。展望未來,我們需要根據定製的排名來定義我們的目標。為實現聯合國的可持續發展目標,我們在本章所述的雄心壯志、承諾和計劃有助於實現以下可持續發展目標。有關業績的更多信息,請閲讀:非財務報表-非財務指標-我們的人員。可持續發展目標目標4.3--到2030年,確保所有男女平等地接受負擔得起和有質量的技術、職業和高等教育,包括大學·僱員培訓和發展指標·多樣性指標可持續發展目標目標4.4--到2030年,大幅增加青年和成年人擁有相關技能的人數,包括技術和職業技能,用於就業、體面工作和創業·社區參與和技術促進·發放獎學金可持續發展目標目標4.5--到2030年,消除教育中的性別差距,確保包括殘疾人在內的弱勢羣體平等接受各級教育和職業培訓,土著人民和處境脆弱的兒童·ASML基金會項目SDG目標8.1--根據國情保持人均經濟增長,特別是最不發達國家國內生產總值每年至少增長7%·財務業績SDG目標8.2--通過多樣化、技術升級和創新,包括側重於高附加值和勞動密集型部門,實現更高水平的經濟生產力·人力資本投資回報·員工參與度SDG目標8.5--到2030年,實現所有男女,包括年輕人和殘疾人的充分生產性就業和體面工作,同值工作和同工同酬·勞動力數據包括多樣性和包容性·公平薪酬薪酬比率可持續發展目標8.6--到2020年,大幅降低未就業、受教育或未接受培訓的青年比例·員工流失率·新員工可持續發展目標8.8--保護勞工權利,促進所有工人,包括移徙工人,特別是婦女、移民和就業不穩定者享有安全和有保障的工作環境·員工安全指標


ASML年度報告2021年78社區參與ASML基金會22個項目支持價值200萬歐元的捐贈教育64個項目支持價值430萬歐元的捐贈藝術文化14個項目支持價值150萬歐元的捐贈本地推廣55個項目支持價值230萬歐元的捐贈作為全球技術領導者和僱主,我們在我們運營的社區中發揮着積極的作用,因為當社區蓬勃發展時,我們就會蓬勃發展。與此同時,我們的ASML基金會旨在通過教育和培訓改善生活。成為社區的一部分意味着不僅要關心我們自己的員工,還要照顧我們組織之外的人。我們培養緊密的社區聯繫,鼓勵我們的員工參與進來,儘自己的一份力量。ASML需要社區的支持才能成功,如果ASML讓社區從它的存在中受益,並考慮到社區的需求,ASML就會獲得這種支持。我們的目標是成為我們社區中有價值和值得信賴的合作伙伴,提高所有人的生活質量,特別關注弱勢社區。我們支持18歲以下青年的技能發展,使他們為日益數字化的未來做好準備,並支持為弱勢羣體提供的社區服務,以及當地的藝術和文化倡議。我們從彼此的存在中受益,相互支持對方的發展。對於ASML來説,重要的是為有積極性的員工長期可持續的戰略執行創造一個健康的基礎。對社會來説,成功意味着我們能夠彌合鴻溝,讓市民和他們的環境茁壯成長。我們的社區參與計劃屬於首席執行官的職責範圍,建立在ASML有能力並能夠產生影響的三大支柱之上:1教育2藝術與文化3地方拓展ASML在2021年在慈善機構、社區參與、組織和我們自己的ASML基金會上花費的現金承諾和實物支持總額約為1,040萬歐元我們的企業公民活動不僅限於社區支持,還包括對旨在培育未來年輕技術創新的初創企業和組織的實物貢獻。此外,我們還通過公私合作伙伴關係的研發支持歐洲創新生態系統。更多內容請閲讀:創新生態系統。


ASML年度報告2021年79教育我們為SDG 4優質教育和SDG 5性別平等做出貢獻ASML認識到需要讓所有年齡段的人為日益數字化的未來做好準備。STEM(科學、技術、工程和數學)能力對於幫助兒童發揮其潛力很重要,特別是在弱勢社區。我們組織和贊助了許多活動,旨在分享我們對技術的熱情和專業知識,以激勵所有世代。我們還與多個組織和教育活動合作,促進技術領域的職業發展。我們的員工是這些計劃的榜樣和指南。我們通過以下方式執行我們的教育項目:1.教育團隊與ASML所在社區的學校和教育項目密切合作。教育小組提供實際支持並協調ASML志願者(我們所謂的ASML大使)網絡,這些志願者訪問學校和活動,並在課程中支持兒童和學校,一些人擔任兼職(“混合”)教師,一些人擔任弱勢兒童的家教,另一些人擔任技術和STEM推動者。我們的STEM強化教育計劃旨在提高年輕人對技術的興趣,並增加當地和地區的人才庫。我們還提高了人們對一個提供許多發展機會的部門的職業前景的認識。2.ASML基金會是一個獨立的基金會,但與ASML有很強的聯繫。它的運作保持一定的距離,並有自己的董事會和預算。它旨在通過發展他們的天賦和幫助釋放他們的潛力的教育倡議,提高世界各地弱勢兒童的自給自足能力。更多內容請閲讀:ASML基金會。2021年支持的項目2021年,我們在我們開展業務的地區(荷蘭、美國和亞洲)總共支持了64個教育項目。這些項目的總價值達430萬歐元。ASML向維基媒體基金會捐贈了5萬歐元。這將是對維基百科背後的組織的年度捐贈中的第一筆,以確保它們的連續性,並支持它們保持為每個人提供免費和開放知識的資源的事業。根據維基媒體的指導方針,隨着ASML員工的增加,這一年度捐款將隨着時間的推移而增加。下面我們提供幾個亮點的例子。欲瞭解更多信息,請訪問www.asml.com-社區參與TU/e(荷蘭)作為埃因霍温理工大學(TU/e)最重要的合作伙伴之一,ASML藉此機會捐贈了四件價值約350萬歐元的高科技禮物,以慶祝該大學成立65週年。這些將主要由該大學新成立的埃因霍温·亨德里克·卡西米爾研究所的研究人員使用。有關更多信息,請參閲創新生態系統-與研究機構和大學的合作伙伴關係部分。美國兒童探索博物館2021年7月20日星期二,聖地亞哥兒童探索博物館舉辦的科學與工程日期間,全家人都玩得很開心。ASML贊助了這次虛擬活動,該活動對公眾免費開放,包括在博物館的Facebook頁面上舉辦的多項互動教育活動。活動包括對機器人進行編碼,讓其遵循一條路徑,解決環境科學挑戰,以及進行化學實驗。ASML聖地亞哥以5000美元的價格贊助了這項活動。臺灣科學教育(亞洲)在臺灣,ASML與李遠哲科學教育攜手實施一項名為“臺灣科學紮根計劃”的為期三年的種子教師培訓計劃。將培訓70多名種子教師,300名學生將通過動手體驗學習基本科學知識。除了這個項目,ASML每年還贊助四個科學體驗營。維基媒體(全球)合作伙伴關係·我們與Spectrum Brabant一起推出了輔導計劃“平等機會”,這是一項針對Brainport Eindhoven地區中學生的免費計劃,旨在解決教育方面的劣勢。·我們與國家老年人基金會、VodafoneZiggo和三星建立了合作伙伴關係,通過歡迎在線數字教育項目支持老年人的數字包容,該項目旨在幫助該地區的老年人實現數字自力更生。


ASML年度報告2021年80我們為可持續發展目標11可持續城市和社區做出貢獻文化是將社區人民聯繫在一起的無形紐帶,而藝術是看得見的文化。為了加強這種聯繫,ASML支持對社區文化至關重要的倡議和組織,並幫助向新來者和弱勢羣體開放它們。我們專注於我們社區的文化圖標--那些對當地社區以外有影響的組織和倡議。2021年支持的項目2021年,我們在我們運營的地區(荷蘭、美國和亞洲)總共支持了14個藝術和文化項目。這些項目的總價值達150萬歐元。我們將科學和藝術結合在一起,與梵高博物館和梵高·布拉班特建立了長期的合作伙伴關係,以幫助確保紮根於荷蘭布拉班特地區的藝術家的作品和文化遺產可以世世代代享受。通過這一夥伴關係,我們支持了幾個項目,包括:·保護畫作:與荷蘭文化遺產局、阿姆斯特丹大學和梵高博物館的保護人員合作,ASML工程師團隊正在調查外部因素,如光線,如何影響梵高使用的顏料。通過利用這些知識來優化展示條件,並將藏品的進一步退化降至最低,我們有助於為子孫後代保存他的傑作。欲瞭解更多信息,請訪問www.asml.com/en/News/Stories/2021/presding-van-goh。·文森特的光實驗室:我們已經開始在計劃中的荷蘭紐寧博物館文具中心的擴建中實現“文森特的光實驗室”。參觀者將能夠了解更多關於光的知識,以及梵高如何在他的繪畫中實驗光。新的博物館文物館將於2023年開業。·ASML畫廊:我們支持梵高博物館2021年秋季展覽《吃土豆的人:錯誤還是傑作》。這次展覽是對梵高的傑作《吃土豆的人》的致敬,也是對他在布拉班特的時光的致敬。·策劃者和傑作:我們與梵高博物館一起,為中小學生開發了教育材料。藝術家的好奇心是他手藝的關鍵,我們與博物館一起,鼓勵學生追隨他的腳步--就像我們的合作伙伴關係一樣,將科學與藝術聯繫起來。200多個在線課程被教授,覆蓋了歐洲和亞洲的8000多名兒童。輝光藝術節(荷蘭)燈光是我們工作的關鍵,這就是我們與荷蘭埃因霍温一年一度的輝光藝術節合作的原因。2021年,我們在藝術節上展示了一件與當地藝術家Gijs van Bon合作創作的特殊藝術品。這件物品是對ASML技術的頌歌,也是自由節的亮點之一,將藝術與科學聯繫在一起。超過58萬人參觀了這個節日。下面我們提供幾個亮點的例子。欲瞭解更多信息,請訪問www.asml.com-與梵高博物館和梵高·布拉班特(荷蘭和全球)的社區參與夥伴關係


ASML年度報告2021年81地方外展我們為可持續發展目標11可持續城市和社區做出貢獻作為一家負責任的公司,我們希望在我們運營的社區中發揮我們的作用。通過與ASML所在地區的企業和組織合作,我們建立了信任並回饋社會。我們支持對我們的社區至關重要並將我們社區的人們聯繫在一起的地方倡議和組織。我們與ASML員工一起,貢獻並使這些倡議具有吸引力和可訪問性,我們支付特別費用我們分佈在歐洲、美國和亞洲的60個地點。有了如此廣泛的存在,我們與我們所在的社區接觸並提供支持是很重要的。我們熱情的員工為當地的項目和組織做出貢獻,這些項目和組織在他們的社區中發揮了作用。作為一家公司,我們為當地的非營利組織提供贊助和捐贈資金。通過我們的全球志願服務計劃,我們鼓勵員工更多地參與當地社區。每個人都可以利用一年中的一天作為自己選擇的活動、慈善機構或活動的有償志願者日。員工也可以志願參與ASML基金會的項目。ASML基金會是我們當地外展活動的主要合作伙伴,通過規劃和資金支持其中許多活動。更多內容請閲讀:ASML基金會。2021年支持的項目2021年,我們在我們開展業務的地區(荷蘭、美國和亞洲)共支持了55個地方外展項目。這些項目的總價值達230萬歐元。2019年,我們與其他五個來自該地區的合作伙伴一起,成為當地足球俱樂部PSV的主要贊助商。這個俱樂部位於我們當地社區的中心,是為我們當地社區的健康和社會福祉而團結的力量。通過聯合起來,我們可以合作,一起做更多的事情。通過這一合作伙伴關係,我們支持了幾個項目,包括:·ASML社區休息室(在飛利浦體育場):該項目旨在讓每個人都能接觸到足球,幫助新人在我們地區找到自己的位置,並讓缺乏財力的人享受一個頂級運動之夜。我們歡迎來自糧食銀行、近地天體、塞維裏納斯、救世軍和其他援助機構等組織的志願者和客户來到會場,2021年總共有1500多名客人。·在線活力平臺:Brainport Eindhoven和PSV聯合推出在線平臺,旨在激勵和激勵Brainport Eindhoven地區的每個人在健康和福祉領域,為所有人創造一個充滿活力和健康的地區。我們圍繞七個幸福主題分享了我們的知識和專業知識。·PSV Analytics:PSV Sports Performance和ASML BAS Big Data的合作項目。該項目的目的是幫助這家荷蘭頂級足球俱樂部解鎖、使用和優化其收集的大量數據,並將它們轉化為分析比賽計劃的動態圖像。這項工作激勵了我們的ASML技術人員,因為我們合作並支持俱樂部與其更大(更富有)的競爭對手競爭。摩爾斯癌症中心(美國)每年,ASML聖地亞哥分校的員工都會在盧奧和衝浪傳奇邀請賽上衝浪尋求治療,該邀請賽籌集資金支持加州大學聖迭戈分校摩爾斯癌症中心的研究和患者護理。雖然由於新冠肺炎的預防措施,今年的活動看起來有點不同,但它仍然籌集了50萬美元。ASML是活動贊助商,捐贈了15,000美元來幫助實現這一目標。下面我們提供幾個亮點的例子。欲瞭解更多信息,請訪問www.asml.com-社區參與。與PSV(荷蘭)建立夥伴關係,注重促進融合、促進多樣性和增強弱勢羣體的能力。


ASML基金會2021年年度報告82 ASML基金會是我們選擇的慈善機構,主要專注於為有需要的年輕人提供有影響力的、包容性的教育和培訓計劃。通過包容性和高質量的教育和培訓改善生活,是我們看待我們使命的方式。我們希望通過21世紀的終身學習和教育以及創業技能,使人們能夠包容和公平地參與社會。通過開展我們對可持續發展目標4優質教育、可持續發展目標5性別平等、可持續發展目標10減少不平等和可持續發展目標17夥伴關係的貢獻,基金會旨在對可持續發展目標4(優質教育)產生可持續的影響,併為可持續發展目標5(兩性平等)、可持續發展目標10(減少不平等)和可持續發展目標17(夥伴關係)作出貢獻。我們相信,所有人都應該接受優質教育,使他們能夠在日益數字化的世界中自給自足。我們的目標是幫助參與我們支持的項目的人提高他們過上更好生活的機會。通過資助和夥伴關係,ASML基金會旨在通過教育實現包容性和公平地參與社會,從而釋放有需要的年輕人的潛力。我們項目選擇的多樣性不僅表明納入了婦女,而且還表明我們的目標羣體可能面臨的不利條件:受教育機會少、特殊教育需要或缺乏職業培訓。ASML基金會希望在ASML運作的社區中有所作為。因此,它主要支持歐洲、美國和亞洲的項目和倡議,以滿足該地區的特定需求。例如,在荷蘭的布雷恩波特埃因霍温地區,解決文盲問題已成為ASML基金會2021年的一個重點領域。在美國,項目主要集中在防止貧困地區輟學,以及促進科學、技術、工程和數學(STEM),特別是對女孩來説。亞洲的項目因國家而異。例如,在亞洲的發展中地區,重點是女孩的教育,以減少不平等,並防止童婚。在中國,重點是農村地區女孩的STEM。2021年,基金會捐贈了約200萬歐元(2020年為100萬歐元),支持了8個國家的22個項目。通過這些承諾的捐款,該基金會的目標是惠及約775,000名年輕人。


ASML年度報告2021年83員工志願ASML員工從ASML員工商店購買商品或通過捐贈向ASML基金會提供財政支持。ASML基金會還負責ASML的志願服務計劃:它協調志願活動,並跟蹤ASML員工為教育活動和其他事業做出貢獻的志願服務時間。ASML員工被允許每年抽出8個小時從事符合志願者政策的志願者工作;許多志願者也貢獻了自己的時間。2021年支持的項目範例有關更多信息,請訪問www.asmloundation.org埃因霍温基本技能城市計劃(荷蘭)在荷蘭,識字率較低的人的數量正在增加--例如,在埃因霍温地區,16-65歲的人中有7%有讀寫困難。總體而言,25%的15歲青年沒有達到在社會中充分發揮作用所需的識字水平。埃因霍温市政府、當地圖書館、當地衞生局(GGD)和其他合作伙伴制定了一項計劃,到2023年加強埃因霍温地區約1萬名低識字率人羣的基本技能--閲讀、寫作、計算和數字技能。作為埃因霍温基本技能城市計劃的一部分,ASML基金會支持一項針對0-4歲兒童的早期預防文盲倡議。STEM-Girls Can Dit(亞洲)STEM-Girls Can Do It項目旨在為10至14歲的年輕人--尤其是女孩--促進性別均衡的STEM教育,地點在中國農村,靠近ASML在成都和西安的辦事處。來自ASML當地辦事處的員工一直積極參與這一合作伙伴關係,作為志願者,在ASML的辦公室舉辦面對面的活動,並邀請女性工程師作為榜樣發現教育(美國)公平與獲取數字教育資源倡議支持高質量的數字內容和有效的按需專業發展,為全美資源不足的學校提供支持,以應對新冠肺炎帶來的學習損失。在美國威爾頓附近的布里奇波特,ASML基金會通過向全國課後協會提供資金支持這一倡議,使他們能夠使用Discovery Education,Inc.的數字學習材料。


ASML年度報告2021年84創新生態系統121研發合作伙伴機構數量100萬歐元支持高科技初創企業和規模對歐盟研究項目貢獻3030萬歐元我們不是孤立地創新。我們在合作伙伴和協作知識網絡的幫助下共同開發技術。我們通過合作伙伴關係進行創新。我們的創新理念是,我們將自己視為架構師和集成商,在創新生態系統中與合作伙伴合作。在我們的創新生態系統中,長期合作建立在信任的基礎上。我們在推動創新的同時,既分擔風險,又分享回報。在與生態系統共享我們的專業知識的同時,它還為我們提供了訪問廣泛技術領域的大型前沿知識庫的途徑。我們共同建立了一個強大的知識網絡,以創造社會可以利用的技術解決方案。這種協作方式使我們能夠加速創新。我們通過合作伙伴關係進行創新。為此,我們專注於與研究中心的合作,通過與研究機構和大學的夥伴關係促進創新管道,並通過歐盟公私合作伙伴關係與研發夥伴合作。此外,我們相信,通過支持初創公司和團隊,培育未來的年輕科技公司,我們可以在生態系統中創造更大的影響。與研究機構和大學的合作我們在大學和研究機構等廣泛的技術合作夥伴網絡中共同開發專業知識。我們的一些合作伙伴包括比利時的IMEC,荷蘭特温特、代爾夫特和埃因霍温的工業大學,以及同樣在荷蘭的納米光刻高級研究中心(ARCNL)。ARCNL從事基礎研究,專注於納米光刻及其在半導體行業中的應用中當前和未來關鍵技術中重要的物理和化學。與往年一樣,2021年,這些夥伴關係取得了積極成果。我們在2021年取得的進展和成就,IMEC展示了一次曝光打印24 nm窄間距線的突破。使用ASML的NXE:3400B系統,結合先進的成像方案、創新的抗蝕劑和潔淨室中的優化設置,IMEC展示了我們的系統如何能夠在單個曝光步驟中打印間距為24 nm的線條。這項創新將使IMEC及其專注於抗蝕劑和圖形制作的合作伙伴能夠幫助開發和測試抗蝕劑材料,這些材料將支持我們推出下一代EUV光刻系統,即我們的EUV 0.55 NA(High-NA)平臺。我們與日本另一家半導體設備公司東京電子等公司合作,進一步增強我們EUV技術的擴展解決方案。2021年,東京電子加入了我們與IMEC的合作伙伴關係,並將其領先的塗布機/顯影劑引入了IMEC-ASML聯合High-NA EUV研究實驗室(聯合High-NA實驗室)。這種塗布機/顯影劑將具有先進的功能,不僅與廣泛使用的化學放大抗蝕劑和襯層兼容,而且還與旋塗金屬抗蝕劑兼容。旋塗金屬抗蝕劑表現出高分辨率和高耐蝕刻蝕性能,有望實現更精細的圖案化。與新的工藝模塊相結合,這將實現靈活的製造廠運營,同時還實現了更高的生產率和高可用性。我們繼續密切參與由埃因霍温理工大學(TU/e)建立的高科技系統中心(HTSC),該中心旨在促進基礎研究,重點了解機電一體化和機械工程行業的需求。自三年半前成立以來,HTSC已經支持了幾個新項目的啟動,擴大了我們與TU/e的合作範圍,轉向靜電基礎知識和光學設計的新發展。為了慶祝


ASML年度報告2021年85 TU/e成立65週年以及我們對合作的感謝,我們為新研究所和學生實驗室捐贈了一套高科技納米技術機器和服務,總價值350萬歐元。2021年,我們與總部設在荷蘭S-赫託根博斯的Jheronimus數據科學研究院(JADS)合作,在數據科學領域進行合作。數據科學對整個半導體行業,特別是對ASML技術來説,越來越重要。這一合作為我們提供了從年輕人才那裏獲得最新學術知識和新視角的途徑,同時也幫助我們通過專業教育計劃發展員工的技能。與赫裏奧特-瓦特大學(英國)建立新的合作伙伴關係我們與來自英國赫裏奧特-瓦特大學(HWU)的世界領先的學術團隊建立了新的合作伙伴關係,以推動新光源技術的進步。這項為期五年的合作旨在加快基礎物理研究的產業化,併為新的激光技術創造一條從實驗室到市場的直接途徑。ASML有着與學術界合作的悠久傳統,而華威大學則以其根據商業和行業需求進行的開創性研究而聞名。這一合作伙伴關係將解決特定的現實世界工程挑戰,例如,由於ASML機器中的傳感器遇到的材料不同(每種材料以不同的方式吸收光),因此它們必須工作在多個波長。該團隊目前的重點是用於光學計量的新的寬帶光源,並建立在他們令人印象深刻的創新記錄的基礎上。與研發夥伴合作我們在歐盟及其成員國資助的研究和創新項目中與私人合作伙伴合作。我們開展合作補貼項目,旨在根據摩爾定律,為與行業路線圖相連的下一個節點推進IC技術。地平線歐洲計劃是一個公私合作伙伴關係,在應對全球挑戰的同時,促進合作並加強研究和創新在制定、支持和實施歐盟政策方面的影響。通過在歐洲項目中的合作,ASML及其合作伙伴通過推動和加速歐洲的基礎研究和突破性創新,在賦予該地區一定程度的主權方面發揮了作用。這種協作還能產生顯著的商業價值、促進就業並創造知識。例如,ASML和各聯盟中的其他成員的專利申請數量每年都在增加,這反映了合作的成功。根據我們在2021年取得的進展和成就,我們繼續協調歐盟三個項目-TAPES3、PIN3S和IT2-的努力,確保及時向聯網的公共合作伙伴報告,並組織在線財團會議,以交流想法和知識。2021年,在這些公私合作伙伴關係中,我們自己在研發方面的貢獻為3030萬歐元,我們在項目整個三年期間的總投資價值為9300萬歐元,佔項目資金總額4.48億歐元。在所有這些項目中,我們與歐洲各地的大學、研究和技術機構和其他高科技公司--來自10個不同歐洲國家的20到80個合作伙伴--合作,幫助該行業轉向下一代技術。2021年,ASML開始協調一個新的歐盟合作項目,稱為ID2PPAC。在這個為期三年的項目中,前一個IT2項目中確定的2 nm節點的技術解決方案將進行整合和集成,目標是證明可以實現這一下一代前沿邏輯技術的性能、功率、面積和成本(PPAC)要求。為了在滿足PPAC要求的同時將摩爾定律延續到2 nm節點,需要在EUV光刻和掩模、3D器件結構以及材料和計量方面取得進一步的進步。ID2PPAC項目彙集了28個領先專家合作伙伴的研發能力,以應對這些挑戰-它的研發成本超過1.07億歐元,併為生態系統釋放了4890萬歐元的公共資金。在地理上,該項目連接了來自奧地利、比利時、捷克、法國、德國、以色列、西班牙和荷蘭的人們。


ASML年度報告2021年86歐盟研究項目合作SolMateS是由ASML牽頭的歐盟項目ID2PPAC的合作伙伴。SolMateS首席技術官Matthijn Dekkers:“SolMateS是一家充滿活力的創新公司,擁有45FTE,位於荷蘭,為半導體市場提供設備。我們的薄膜脈衝激光沉積硬軟件正在改變薄膜材料的未來。在ID2PPAC財團內,SolMateS負責IMEC 300毫米脈衝激光沉積系統的開發和安裝。該系統將用於半大馬士革材料的開發。與項目合作伙伴IMEC和ASML等合作,幫助SolMateS在與生產相關的環境中測試新開發的硬件。ID2PPAC聯盟網絡使SolMateS能夠利用半導體市場合作夥伴的專業知識。該項目對公司的戰略路線圖和成為高科技設備領域相關參與者的雄心做出了重大貢獻。“支持創業企業和規模企業培育新一代科技人才創新,也為創業和創業提供寶貴的專業知識支持。我們利用我們專家的深入能力和知識來開發和支持初創企業和規模企業。通過培養企業家精神,我們的目標是幫助這些年輕企業脱穎而出並發展壯大。我們的共同點是基於我們擅長的領域,比如構建複雜的製造系統。這是我們可以發揮作用並有所作為的地方。分享我們的專業知識是加強我們地區高科技生態系統的一種方式,特別是在我們位於荷蘭維爾德霍温的總部周圍。這一地區在全球具有競爭優勢,我們需要確保我們保持這一地位。建立強大的地區基礎不僅使ASML和相關合作夥伴受益,也使其他公司和組織受益。它還有助於吸引廣泛的人才基礎到該地區。通過HighTechXL,我們結合高科技創業人才和相關技術,建立和加速有影響力的初創企業。通過Make Next平臺,我們的目標是支持年輕的創新高科技團隊。2021年,ASML為高科技初創企業和初創企業提供了近100萬歐元的實物支持。這一數額包括2100個小時的支持和40萬歐元的現金。作為一家風險建築商,ASML是HighTechXL的主要股東之一,與飛利浦、TNO、Brabant Development Agency(BOM)和High Tech Campus Eindhoven等該地區其他注重技術的合作伙伴一起。通過HighTechXL,我們將來自ESA、CERN、Fraunhofer、IMEC和TNO等知名科技合作伙伴的高科技創業人才和相關技術結合在一起,建立和加速有影響力的初創企業,目標是解決主要的全球社會挑戰。通過HighTechXL,一家圍繞世界上最先進的技術建立企業家和科技人才團隊的創業加速器,多年來,我們與本地區其他注重科技的同行合作,支持處於不同階段的初創企業和規模企業。我們通過客觀評估和每項關鍵績效指標的一套交付成果(如商業模式、財務、技術、可持續性和執行技能)來監控和評估其成熟度。我們最近幾年獲得的見解表明,我們過去的成功是基於與規模較大的初創企業合作,這些初創企業的成分是深度科技,而且很難找到這些初創企業。解決方案是與其他技術提供商合作建立我們自己的技術。自2020年以來,我們進一步發展了對現有初創企業的參與,並規劃了一個新的重點領域,即建設自己的深度科技企業。截至目前,已有18家新的深度科技企業完成了該計劃,並已受到全球的關注。此外,目前仍有5家新企業在加速器計劃中,進展良好,新的隊列已經計劃好了。2021年,由於新冠肺炎疫情,HighTechXL的大部分活動仍然不得不在網上舉行。我們還不得不在線下組織自己,伴隨着通信和物流方面的相關挑戰。雖然初創公司的花銷率相對較低,但一些公司遇到了財務困難。反洗錢組織幫助安排了對其中一些項目的資金和補貼。另一個在新冠肺炎危機期間變得更加明顯的問題是需要早期融資,特別是對深度科技初創企業來説。深度技術通常被認為是複雜的,需要高風險資本,因此對典型的早期風險投資基金不太有吸引力。ASML承諾提供財政捐助,以滿足初創企業的需求,特別是在初創企業存在的早期階段,此時需要為建造技術示範器、原型等往往相對較高的成本提供資金。ASML與HighTechXL的其他股東一起,打算建立一個深度技術種子基金。


ASML年度報告2021年87 Carbyon使從大氣中捕獲二氧化碳成為可能到目前為止,從空氣中提取二氧化碳的可持續解決方案一直是將綠色氫氣轉化為清潔燃料的關鍵缺失部分。解決這一難題將使可再生電力轉化為化學品和燃料成為可能,只使用水、空氣和清潔電力就可以結束有機燃料燃燒循環。來自ASML和從TNO剝離出來的Carbyon公司的技術專家聯手開發了一種非常複雜的機器的技術概念,以一種經濟有利可圖的方式從空氣中提取二氧化碳。特別是,根據ASML的技術經驗,更詳細地制定了從空氣到二氧化碳的“氣體沖洗”概念,反之亦然。在ASML的積極支持下,Carbyon加快了其概念驗證的設計和實現。它正在朝着成為一家規模較大的公司的方向發展,籌集了250萬歐元的資金,並正在與各種風險資本家就資本增長進行談判。多虧了卡賓,我們離創造一個可持續的未來又近了一步。Make Next Platform為了支持年輕的創新高科技團隊,ASML於2016年與豪氏威馬、Vanderlande和非營利性Stichting Technology Rating一起創建了Make Next平臺。泰利斯NL於2019年作為聯合創始人加入。Make Next平臺利用合作伙伴的網絡、能力、專業知識和經驗來回答這些合作伙伴在發展過程中遇到的問題。我們幫助他們成長為一家可持續發展的公司。Make Next平臺旨在幫助那些已經走出創業階段並準備擴張的年輕科技公司。這些公司,即所謂的黑幫,面臨着一些挑戰,比如尋找增長所需的資金,知道如何瞄準新的客户羣體,以及招聘具有合適技能的新員工。通過交流最佳實踐、業務經驗和企業專家的指導,Make Next平臺合作伙伴旨在通過允許他們訪問內部網絡來支持他們發展成為全球參與者。截至目前,Make Next平臺已經篩選了200多家公司,並與其中50多家公司的管理團隊進行了接觸。到目前為止,已經採取了7次大規模行動,目前有10多次正在籌備中。創新生態系統關鍵績效指標下表顯示了關鍵績效指標(KPI)和相關的2025年目標。由於計量方法和應用的假設的限制,非財務數據可能包括一定程度的不確定性。更多內容請參閲:非財務報表-關於非財務信息-報告指標。KPI 2019 2020 2021目標2025研發費用(歐元,以十億為單位)1 2.0 2.2 2.5 n/a研發合作伙伴機構144 130 121 n/a初創企業數量從支持的初創企業總數(%)17%16%15%>20%支持的向上擴展的公司數量(#)5 7 7 14初創企業和實物支持小時數1 300 1 550 2 100 n/a 1。此KPI基於美國公認會計原則對聯合國可持續發展目標的貢獻我們在本章中描述的雄心壯志、承諾和計劃有助於實現以下可持續發展目標。可持續發展目標目標我們如何衡量我們的績效可持續發展目標9.1-發展高質量、可靠、可持續和有彈性的基礎設施,包括區域和跨境基礎設施,以支持經濟發展和人類福祉,重點是為所有人提供負擔得起和公平的機會。·支持初創企業達到星級·支持擴大項目·在歐盟項目中的合作SDG目標9.4-到2030年,升級基礎設施和改造產業,使其可持續,提高資源利用效率,更多地採用清潔和無害環境的技術和工業流程,所有國家都根據各自的能力採取行動。·與研究夥伴合作·我們每片晶圓測量的產品能效超過SDG目標9.5-加強科學研究,提升所有國家,特別是發展中國家工業部門的技術能力。對發展中國家來説,這包括到2030年鼓勵創新,增加每百萬人的研發人員數量,以及公共和私人研發支出。·研發投資·與研發合作伙伴機構合作


ASML年度報告2021年88通過確保我們以可持續和負責任的方式開展業務,為我們的世界級供應商網絡實現我們努力實現的創新設定了更高的標準。我們的供應鏈總採購支出90億歐元荷蘭42%歐洲、中東和非洲(不包括NL)12%北美7%亞洲4,700總供應商1,500荷蘭700 EMEA(不包括NL)1,200北美1,300亞洲89%完成關鍵供應商的澳大利亞央行自我評估問卷0供應商在可持續發展(RBA)和ASML評估中獲得總體高風險分數,我們在很大程度上依賴我們的供應商網絡來實現我們努力的創新。我們的供應商是我們價值鏈的重要延伸。我們的總供應商基礎中約有4,700家供應商,我們區分與產品相關和非產品相關的供應商。與產品相關的供應商提供直接用於生產我們系統的材料、設備、部件和工具。這一類別包括800家供應商,佔我們採購量的最高百分比,佔我們總支出的70%。在與產品相關的供應商總數中,約有200家供應商是關鍵供應商,約佔產品相關支出的92%。與產品無關的供應商是商品和服務供應商,提供支持我們運營的產品和服務,從臨時工到物流,從自助餐廳服務到IT服務。該集團約有3,900家供應商,佔我們總供應商基礎的近85%。採購和供應鏈戰略我們投入了大量資源來開發和引入新的系統和系統增強,如EUV光刻和電子束計量。由於這些是涉及數千個專業部件的複雜技術,我們專注於高附加值的系統集成。ASML的供應鏈戰略以與供應商和合作夥伴的長期關係和密切合作為中心。我們的目標是確保我們獲得所需的產品、材料和服務,以滿足我們的短期和長期需求,支持我們的運營,從我們系統的最早開發階段到生命週期的結束階段。為了確保這一過程順利進行,我們在產品生成過程(PGP)的儘可能早階段引入了我們的供應商。這也使我們能夠提高產品性能,並確保可製造性和適用性。在以小批量生產高價值產品、快速開發週期和業務波動為特徵的利基市場上運營,需要對供應基礎提出幾個關鍵的性能要求。持續提高供應商的能力和績效是我們採購和供應鏈戰略的核心。我們要求我們的供應商:1.通過開發和維護一流的能力和能力,使我們的產品路線圖能夠確保最先進的技術和最快的上市時間2.通過高效和專注的運營推動成本降低、質量和能力的改進3.建立足夠廣泛的客户基礎和規模,以分擔和分散動盪的市場週期的風險,並提高靈活性和成本競爭力4.為我們的可持續戰略做出積極貢獻為了推動可持續和有彈性的供應鏈,我們強調供應商績效管理、供應鏈風險管理和負責任的供應鏈。


ASML年度報告2021年89 ASML和ZEISS面向未來的業務關係自20世紀90年代以來,ZEISS和ASML在‘兩家公司-一家企業’的旗幟下形成了戰略合作伙伴關係,我們在一起取得了令人難以置信的成功。我們掌握了技術挑戰:浸沒式光刻曾經是並將繼續取得巨大成功,我們將EUV 0.33 NA光刻投入批量芯片生產,我們現在正在開發下一代EUV 0.55 NA(High-NA)。ASML和ZEISS簽署了一項新的框架協議,將長期而成功的合作與結盟關係帶到了一個新的水平。新的框架協議基於三大支柱。第一個是促進ASML和ZEISS之間相互尊重和理解的行為和交互模型。第二種是治理模式,它使兩家公司在決策和業務戰略的執行方面變得更加有效和一致。第三個支柱是覆蓋兩家公司之間整個業務關係的商業模式,允許產品和工程團隊現在完全專注於協作來服務我們的客户。我們的共同意圖是更快地向我們的客户提供更好的產品,發展業務,並分擔這項業務對最終客户的總體責任。供應商績效管理ASML的持續增長,再加上我們的雄心壯志,要求我們顯著改進我們的關鍵業務流程。嚴格的風險控制和持續的供應鏈改進是確保質量、長期業務連續性和可持續性的關鍵。我們投資於開發和監控我們的供應格局,以幫助供應商滿足我們在質量、物流、技術、成本和可持續性(QLTCS)方面的要求。我們的供應商概況分析方法幫助我們衡量所有這些領域的供應商業績、供應商能力和風險概況。我們建立了一個框架,將流程要求和合規期望傳達給我們的供應商。該框架概述了我們對供應商管理和開發所需的ASML供應商環境的方法。它還提供了一個增強的知識庫,以改善我們與供應商圍繞其業績和發展潛力的對話。我們定期召開運營和績效審查會議,以確保供應商繼續改進其績效和流程。當供應商的業績下降到低於每年設定的閾值,並且在合理的時間範圍內沒有應要求恢復時,ASML將採取行動確保可靠的未來供應。此外,我們有一個結構性審計計劃,以評估供應鏈風險,並確定可改進的領域,以減輕或減少這些風險。2021年,我們在N級(間接)供應商變更管理、產品安全和維修等領域發起了各種供應商改進舉措。這些跨部門的改進項目旨在加快我們供應商的學習,提高供應商的整體表現。隨着芯片短缺的持續,供應商也加入了產能驅動的行列,客户面臨着提高產量的壓力,所有人都在關注ASML幫助他們做到這一點。但由於ASML的絕大多數產品依賴於供應商的零部件,我們的目光轉向他們,以匹配所需的產能增長。這是2021年5月18日虛擬供應商提升日的重點。它包括兩個成功的現場直播,來自亞洲、歐洲和美國的320多家供應商參與其中。主要演講者包括我們的首席執行官和DUV和運營部門的高級管理人員。他們傳達的信息是明確的--目前ASML的每一點製造能力都得到了利用,我們需要我們的供應商與我們一起迅速提高,質量和交付性能至關重要。這是一個積極的行動呼籲--共同努力,我們可以提供我們的客户需要的東西,並確保我們行業的可持續性,使所有人受益。供應鏈風險管理由於我們的許多部件和模塊高度專業化,而且數量較少,從多個供應商採購並不總是經濟的。因此,我們的採購戰略(在許多情況下)規定了“單一採購,雙重能力”,這要求我們主動管理供應商的業績和風險。在我們的風險管理框架中,我們評估六個風險領域--災難、所有權、金融、知識產權和信息安全以及合規性。由於在同一行業或市場運營的供應商通常面臨類似的風險,我們在其供應市場類別的背景下評估供應商的風險和表現。我們將根據需要調整我們的品類戰略,以滿足ASML的短期和長期業務需求。在風險超過商定的閾值的情況下,將採取緩解措施。例如,我們有長期供應商協議(LTSA)和/或持續供應協議,或確保知識產權在第三方託管中可用。更多內容請閲讀:我們在2021年的表現-治理-我們如何管理風險。


ASML年度報告2021年90我們的業績和進展我們對我們的供應基地進行持續的業績和風險管理,目的是確保和改進業績,並防止聲譽損害。為此,我們部署了兩個關鍵計劃:旨在確保供應和供應商信息安全連續性的供應商業務連續性計劃,以及保護我們的知識產權和保持領先技術地位的信息安全和網絡彈性計劃。在2021年的業務連續性計劃中,我們繼續專注於通過審查業務連續性計劃來提高業務恢復能力,以確保供應商能夠在發生中斷事件的情況下在儘可能短的時間內重新交貨。我們要求供應商具備符合國際標準化組織22301標準的業務恢復能力。要求、評估並在需要時改進供應商恢復計劃,以防止潛在的業務中斷。例如,供應商可能被要求將其庫存放在不同的位置,實施防火控制,或增加緩衝庫存。2021年,我們將197家與業務關鍵產品相關的供應商納入我們的業務連續性計劃,並將範圍擴大到32家與產品無關的供應商。信息安全和網絡復原力計劃我們在2021年繼續擴大我們的信息安全和網絡復原力計劃,導致目前的供應商範圍從2020年的143家增加到202家。能夠獲取絕密信息或擁有訪問我們IT系統特權的供應商被要求通過國際標準化組織27001標準提高他們的網絡韌性。為了支持我們的供應商和其他生態系統合作伙伴的這一努力,我們與Cyber Weerbaarheid(Resilience)Brainport一起在荷蘭建立了安全信任圈。更多內容請閲讀:我們在2021年的表現-治理-負責任的企業-信息安全。負責任的供應鏈我們積極追求供應鏈的可持續發展,旨在確保我們的一級供應商和承包商以關懷和負責任的方式開展業務,並作為負責任的業務夥伴行事。在我們尋求確保負責任的供應鏈的同時,我們部署了幾個項目,重點是負責任的商業聯盟(RBA)承諾和標準、盡職調查以及我們的供應商可持續發展計劃。澳大利亞央行行為準則承諾我們是負責任商業聯盟(RBA)的成員,並通過了澳大利亞央行行為準則,這是一項旨在確保電子行業或電子產品為關鍵組件的行業的工作條件及其供應鏈是安全的,工人得到尊重和尊嚴的對待,以及商業運營對環境負責和按道德行事的標準。我們希望我們的主要供應商及其供應商也承認並遵守澳大利亞央行的行為準則。這一要求包括在我們與產品相關的長期供應商的合同中。我們還鼓勵我們的供應商制定他們自己的可持續發展戰略、政策和流程,我們積極推動我們的供應商遵守這一準則。為了對我們的供應商基礎中的4,700多家一級供應商進行盡職調查,識別存在風險的供應商並對其進行優先排序對我們來説很重要。我們採用基於風險的方法來確定哪些供應商在我們更詳細的盡職調查流程的範圍內,該流程由三個層面組成:a.通過使用澳大利亞央行風險平臺,根據道德、勞工、健康和安全以及環境風險來篩選我們的完整供應商基礎,以確定固有風險級別。B.將供應商風險分析應用於關鍵業務供應商。對於這些供應商,我們對QLTCS能力要素進行風險評估。C.向主要供應商應用澳大利亞央行自我評估問卷(SAQ),其中我們考慮供應商的類型、槓桿和供應商的地理位置。我們專注於覆蓋我們年度支出80%的產品相關供應商、包括非產品相關供應商在內的業務關鍵型供應商,以及在我們的澳大利亞央行年度風險篩選中被認為風險較高的供應商。關於這些詳細程序範圍內的供應商,我們希望他們每年完成澳大利亞央行SAQ,以驗證他們是否符合澳大利亞央行行為準則,並確定與澳大利亞央行行為準則標準有關的任何潛在差距。我們審查所有澳大利亞央行SAQ結果,評估高風險發現(如果有),並確定發現的嚴重性。我們的政策是與供應商討論所有高風險的發現,以評估風險並確定是否需要改進計劃。我們確保可持續供應鏈的方法的一個關鍵績效指標是範圍內完成澳大利亞央行SAQ的供應商的百分比。我們的目標是到2025年達到90%的完成率。我們的第二個關鍵績效指標是為高風險供應商制定100%的改進計劃,這是澳大利亞央行自我評估確定的。


ASML年度報告2021年91我們的業績和進展下圖概述了我們盡職調查程序產生的範圍。供應商產品相關(PR)供應商非產品相關(NPR)772 3,885供應商70%總支出30%NPR支出27%NPR支出32 197 38%NPR支出15供應商92%盡職調查篩選供應商風險澳大利亞央行自評問卷(SAQ)2021年總供應基數應用於總供應基數使用澳洲央行風險評估平臺90億歐元總支出4,657個供應商適用於具有戰略重要性的業務關鍵型供應商適用於主要供應商79%的公關支出41個供應商我們已要求總共56個供應商完成詳細的澳洲央行SAQ。總體而言,澳大利亞央行SAQ結果顯示,我們的供應基礎風險水平相對較低,因為我們的大多數供應商在我們認為總體上擁有強大法治的國家開展業務。2021年,89%的範圍內供應商完成了澳大利亞央行SAQ(2020年為88%)。從這一總數中,澳大利亞央行SAQ顯示,兩家供應商的總體風險很高。


ASML年度報告2021年92我們評估了這些潛在的差距,並與這些供應商進行了接觸。根據我們的評估,我們確定風險與實際違規或事件無關-我們的結論是高風險被高估了,不需要改進計劃,我們調整了評分。關於人權風險,澳大利亞國家統計局表示,一家供應商的勞動力風險很高。根據我們的評估,我們得出結論,這一風險與管理制度有關,而不是實際侵犯人權的行為。更多細節可以在下表中找到。澳大利亞SAQ標準澳大利亞央行承諾2020年2021年主要調查結果確定的高風險數量勞工維護所有工人的人權(直接和間接),並按照國際社會的理解,以尊嚴和尊重對待他們,包括國際勞工組織(勞工組織)的八項基本公約。10·自己的管理體系,但沒有第三方驗證·沒有公開報告勞動指標健康和安全,以將工傷和疾病的發生率降至最低,並確保安全健康的工作環境。溝通和教育對於確定和解決工作場所的健康和安全問題至關重要。00環境責任是生產世界級產品和服務不可或缺的一部分。在保障公眾健康和安全的同時,將對社區、環境和自然資源的不利影響降至最低。0道德為了履行社會責任並在行業中取得成功,應堅持最高道德標準,包括但不限於商業誠信、反賄賂和腐敗、反壟斷和競爭、保護隱私。10·自己的管理體系,但未經第三方驗證·沒有公開報告與道德相關的指標,成員和參與者致力於建立管理體系,以確保:·符合適用的法律、法規和客户要求·符合規範標準·識別和緩解運營風險·促進持續改進。在旅行限制和其他新冠肺炎衡量標準中,我們沒有進行供應商現場審計。我們認為這是一個改進的領域,並審查了我們以前的審計方法。我們正在考慮是否讓第三方審計師參與進來。我們將於2022年完成審查並開始實施。供應商可持續發展計劃我們的供應商可持續發展計劃通過專注於七個組成部分-供應商行為準則(RBA)、澳洲央行自我評估、負責任的礦產採購、減少碳足跡、提高重複利用能力和減少浪費、信息安全和業務連續性-來解決我們第一級供應鏈中的勞動力、人權、安全、道德和環境風險。在我們的供應商可持續發展計劃中,一個重要的元素就是“意向書”。通過簽署這份意向書,供應商同意繼續遵守最新版本的澳大利亞央行行為準則,與生態系統合作伙伴測量和共享他們的二氧化碳排放數據,設定雄心勃勃的二氧化碳減排目標,並與ASML和生態系統合作伙伴合作,重新制造使用過的系統部件、工具、包裝和其他材料,以最大限度地實現材料的再利用。我們的業績和進展到2021年年底,超過50%的供應商簽署了第一階段推廣的意向書,超過了我們最初20%的入職目標。通過意向書,我們的供應商承認了減少集體環境足跡的共同責任和承諾,特別是在有助於我們範圍3減少的二氧化碳排放和有助於我們再利用雄心的廢物方面。更多內容請閲讀:我們在2021年的表現-環境-循環經濟-重複使用安裝基礎上的部件和材料。為了在2021年減少二氧化碳排放和廢物,我們在供應商可持續發展計劃中邁出了重要的一步,我們雄心勃勃地聯手實現到2030年實現淨零排放的全球目標。我們向排名前60位的供應商推出了這一計劃,目的是隨着時間的推移逐步擴大範圍。我們認識到,我們的供應商在二氧化碳排放和減少廢物方面處於不同的成熟階段,從先進的目標設定和業績到尚未開始衡量他們的環境足跡。利用我們供應商的二氧化碳排放數據,我們的目標是在2022年設定一個基線,並與他們就減排目標達成一致。


ASML年度報告2021年93衝突礦物與電子行業的許多公司一樣,我們的產品含有產品功能或生產所需的礦物和金屬。這類礦物和金屬包括鉭、鎢、錫和金,它們是3TG礦物,或所謂的衝突礦物。在我們產品的生產過程中,我們並沒有大量使用這些3TG礦物質。然而,需要某些3TG礦物質來開發我們的產品並使其發揮作用。例如,金被用來塗覆關鍵的電子連接器,錫被用來焊接電子元件和產生EUV光。我們根據經合組織《受衝突影響地區和高風險地區負責任的礦產供應鏈盡職調查指南》(《經合組織指南》)提出的五步框架的法律要求和指導方針,採取了一系列合規措施。作為我們負責任的採購計劃的一部分,我們進行合理的原產國調查。為此,我們重點關注供應鏈關鍵績效指標(KPI)下表顯示了關鍵績效指標(KPI)和相關的2025年目標。更多內容請參閲:非財務報表-非財務指標-我們的供應鏈績效指標(PI)和相關結果。由於計量方法和應用的假設的限制,非財務數據可能包括一定程度的不確定性。更多內容請參閲:非財務報表-關於非財務信息-報告指標。KPI 2019 2020 2021 Target 2025澳大利亞央行自我評估已完成(以%為單位)1 78%88%89%90%供應商對可持續性要素進行了高風險評估並達成了後續行動協議(以百分比為單位)2 25%0%100%100%1。此指標顯示完成澳大利亞央行年度自我評估問卷(SAQ)的範圍內主要供應商的百分比。2.零供應商被確定為可持續性因素風險較高的供應商。為實現聯合國的可持續發展目標,我們在本章所述的雄心壯志、承諾和計劃有助於實現以下可持續發展目標。有關業績的更多信息,請閲讀:非財務報表-非財務指標-我們的供應鏈。可持續發展目標我們如何衡量我們的業績可持續發展目標8.8-保護勞工權利,為所有工人,包括移徙工人,特別是女性移民,和那些就業不穩定的人促進安全和有保障的工作環境·遵守澳大利亞行為準則·澳大利亞央行自我評估問卷完成·供應商在可持續性要素上具有高風險,並進行評估和後續商定的可持續發展目標12.2-到2030年,實現自然資源的可持續管理和高效利用·在五個領域推動循環採購,涵蓋強大的管理體系、風險識別、風險緩解、與負責任礦產倡議(RMI)組織的行業合作和公共報告。儘管不斷努力,我們仍無法確定我們產品中包含的所有3TG礦物的確切來源。這是由於幾個原因:3TG供應鏈的複雜性,追蹤源頭的供應商層級數量,以及為所有衝突礦物認證的無衝突冶煉廠數量有限。從我們的供應鏈中獲得正確的數據是一個挑戰,但我們在這方面繼續努力。我們繼續鼓勵我們的供應商根據適用的衝突礦產規則和法規,在其供應鏈中追蹤3TG礦產的來源。此外,我們要求我們的供應商將未在澳大利亞央行冶煉廠名單上列出或確定的冶煉廠報告給澳大利亞央行進行審計。有關更多信息,請參閲www.asml.com上提供的我們的衝突礦產報告。


ASML年度報告2021年94治理我們倡導綜合公司治理,以與我們的利益相關者建立信任、尊重和相互尊重的關係。


ASML年度報告2021年95公司治理我們贊同良好的公司治理的重要性,其中獨立性、問責制和透明度是最重要的要素。這些也是我們與利益攸關方之間建立信任關係的基礎。ASML Holding N.V.是一家根據荷蘭法律運營的上市有限責任公司。阿斯麥的股票在泛歐交易所、阿姆斯特丹和納斯達克上市。我們有一個兩級董事會結構,包括一個負責管理公司的管理委員會和一個獨立的監督委員會,負責監督管理委員會並向其提供建議。就履行其職責而言,兩個董事會均向股東大會負責,股東大會是代表我們股東的法人團體。我們的治理結構基於ASML的公司章程、荷蘭公司法和證券法以及《荷蘭公司治理守則》。由於我們在納斯達克上市,因此我們還必須遵守薩班斯-奧克斯利法案、納斯達克上市規則以及美國證券交易委員會頒佈的規則和法規的適用條款。股東監事會管理業務部門業務職能公司職能員工支持ASML公司治理結構我們受適用於大公司的荷蘭法律(結構制度)的相關條款的約束。這些規定的效果是將對某些公司決策和交易的控制權集中在監事會手中。管理委員會和監事會成員的任免程序以結構制度為基礎。本年度報告的這一部分介紹了我們的公司治理結構以及ASML應用《荷蘭公司治理準則》的原則和最佳實踐的方式。它還提供了通過與管理報告內容有關的進一步規則的法令和執行《接管指令》第10條的法令所要求的資料。根據荷蘭公司治理守則(https://www.mccg.nl/english),),本年度報告的其他部分闡述了我們旨在創造長期價值的戰略和文化、我們的價值觀和行為準則,以及我們內部控制和風險管理系統的主要特點。閲讀更多內容:我們是誰,我們做什麼-我們的公司,我們在半導體價值鏈中的位置-我們的戰略,我們在2021年的表現-我們如何創造價值,我們在2021年的表現-治理-我們如何管理風險。


ASML管理委員會2021年年報ASML管理委員會負責管理ASML。其職責包括建立關於ASML及其業務的長期價值創造的相關性的立場,定義和部署ASML的戰略,建立和維護有效的風險管理和控制系統,管理ASML運營和財務目標的實現以及與ASML相關的企業社會責任方面。在履行其管理任務和責任時,管理委員會以ASML及其業務的利益為指導,並考慮到我們利益相關者的利益。目前的管理委員會由五名成員組成。公司實行雙重領導結構,董事長總裁兼首席執行官,副董事長總裁兼首席技術官。管理委員會通過了任務分工,向個別成員收取管理任務的具體部分,但管理委員會仍然集體負責非政府組織的管理。管理委員會受監事會的監督和建議。管理委員會以書面或其他形式向監事會提供監事會適當履行其職責所需的所有信息。除了在定期會議上提供的信息外,管理委員會還定期向監事會提供與我們的業務、財務、運營和行業總體發展相關的最新發展情況。管理委員會的某些重要決策需要得到監事會的批准,請參閲本公司治理章節的監事會部分。關於管理委員會的一般責任、與監督委員會和各利益攸關方的關係、管理委員會內部的決策進程以及會議的後勤安排的進一步信息,可參閲管理委員會的議事規則。這些都發表在我們網站的治理部分。任命管理委員會成員由監事會根據遴選和提名委員會的建議並經通知大會後任命。管理委員會成員的任期為四年。連任四年是有可能的。根據荷蘭法律,管理委員會的所有成員在任期內通過管理事務協議聘用。反洗錢組織與管理委員會成員之間的管理服務協定載有關於遣散費的具體規定。如果ASML終止協議的原因並非完全或主要存在於管理委員會成員的作為或不作為中,則將支付不超過一年基本工資的遣散費。此外,目前的協議規定,管理委員會成員在根據控制權變更發出終止通知時,有權獲得遣散費。鑑於這種辭職與控制權的變更有明確的聯繫,ASML不認為這一規定偏離了荷蘭公司治理準則。監事會可以將管理委員會成員停職和解職,但這必須在徵求股東大會意見後才能完成。有關2021年管理委員會變動的更多信息,可在本年度報告中的監事會報告中找到。Peter T.F.M.Wennink(1957年,荷蘭)總裁,首席執行官兼管理委員會主席任期屆滿2022年Peter Wennink於2013年成為總裁兼首席執行官,自1999年以來一直擔任執行副總裁、首席財務官和管理委員會成員。Peter之前是德勤會計師事務所的合夥人,專注於半導體行業。他在金融方面有廣泛的背景,是荷蘭註冊會計師協會的成員。彼得在2021年12月31日之前一直是總部基地Stichting Pensioenfonds投資委員會顧問委員會的成員。他是FME-CWM董事會的副主席,也是埃因霍温地區工業領袖委員會的成員,也是埃因霍温工業大學法布里坎特環和監事會的主席。此外,Peter還是Topconortium Voor‘Kennis en Innovatie’TKI HTS&M的理事會成員,荷蘭國家增長基金諮詢委員會成員和Startup Delta影響力圈成員。


ASML年報2021年97 Martin A.van den Brink(1957年,荷蘭)總裁首席技術官兼管理委員會副主席任期屆滿2022年Martin van den Brink自2013年起擔任ASML總裁兼首席技術官。1984年ASML成立時,他加入了ASML,在接下來的11年裏,他在工程領域擔任過各種職位。1995年任總裁科技副總裁,1999年任總裁產品技術常務副總裁兼管理委員會成員。Martin擁有漢城大學HTS Arnem的電氣工程學位,以及Twente大學的物理學學位(1984)。2012年,阿姆斯特丹大學授予他物理學榮譽博士學位。弗雷德裏克·施耐德-毛努裏(1961年,法國)執行副總裁總裁兼首席運營官任期至2022年弗雷德裏克·施耐德-毛努裏自2009年加入ASML以來一直擔任執行副總裁總裁兼首席運營官。他於2010年被任命為管理委員會成員。在加入ASML之前,弗雷德裏克曾在發電和軌道交通設備集團阿爾斯通擔任總裁熱力產品製造副總裁,此前曾擔任阿爾斯通全球水電業務總經理。在加入阿爾斯通之前,弗雷德裏克在法國貿易和工業部擔任過各種職位。他畢業於巴黎理工學院(Ecole Polytech)(1985年)和國家礦業學院(Ecole National Supérieure des Mines)(1988年)。羅傑·J·M·達森(1965年,荷蘭)執行副總裁總裁和首席財務官任期至2022年底。羅傑·達森於2018年6月加入ASML,同年在年度股東大會上被任命為執行副總裁總裁和首席財務官兼管理委員會成員。他之前曾擔任德勤控股有限公司全球副主席兼執行董事會成員,曾任德勤控股有限公司首席執行官。羅傑擁有馬斯特裏赫特大學經濟學和工商管理碩士學位、審計碩士學位和工商管理博士學位。他是阿姆斯特丹Vrije University審計教授,也是荷蘭國家銀行監事會成員。他也是馬斯特裏赫特大學醫學中心+的監事會主席。克里斯托夫·D·福奎(1973年,法國)執行副總裁總裁的任期將於2022年屆滿,克里斯托夫·福奎於2018年被任命為執行副總裁兼管理委員會成員。自2008年加入ASML以來,他曾擔任過多個職位,包括董事高級營銷總監總裁產品經理和總裁應用副總裁(他於2013年至2018年擔任該職位)。在加入ASML之前,他曾在半導體設備同行KLA Tencent or和應用材料公司工作過。克里斯托夫擁有格勒諾布爾理工學院的物理學碩士學位。


ASML年度報告2021年98監事會我們的監事會監督ASML及其子公司的管理委員會和一般事務過程。監事會還向管理委員會提供諮詢意見。在履行其作用和責任時,監事會考慮到ASML及其子公司的利益,以及其利益攸關方的相關利益。在兩級結構中,監事會是一個獨立於管理委員會和反洗錢法的獨立機構。除作為監事會成員外,沒有任何監事會成員親自與ASML保持業務關係。監事會目前由8名成員組成,最少為3名。在履行其任務時,監事會除其他外,重點關注ASML旨在創造長期價值及其執行的公司戰略、管理委員會的人員配置和繼任規劃、管理ASML業務活動所固有風險的管理、財務報告程序、遵守適用的法律和條例、ASML的文化和管理委員會在這方面的活動、與股東和其他利益攸關方的關係,以及對ASML重要的公司社會責任問題。重要的管理決策,如確定業務和財務目標、為實現這些目標而設計的戰略和適用的參數、重大投資、預算以及股票的發行、回購和註銷,都需要得到監事會的批准。監事會受其議事規則管轄。這些規則涵蓋的事項包括監事會及其各委員會的職責、監事會及其各委員會的組成、圍繞會議的後勤、監事會成員出席會議的情況、這些成員的輪換時間表以及委員會章程。監事會的議事規則和委員會章程定期進行審查,並在必要時進行修訂。審計委員會章程每年審查一次,以確認章程仍然符合適用的規章制度,特別是與薩班斯-奧克斯利法案有關的規章制度。有關監事會2021年會議和活動的更多信息,請參閲:監事會-監事會報告-會議和出席。任命監事會成員由大會根據監事會提出的具有約束力的提名任命。在提名(重新)任命的人時,監事會檢查候選人是否符合監事會的要求。該簡介可在我們網站的治理部分找到。股東大會可通過以絕對多數票通過的決議否決監事會的有約束力的提名,該決議至少佔ASML已發行股本的三分之一。如果贊成這一決議的票數不超過總已發行資本的三分之一,可以召開新的股東大會,在會上可以以絕對多數推翻提名。監事會一般於實際退任前一年的股東周年大會(股東周年大會)上輪流向股東大會及勞資委員會通知即將退任的人士(S)輪值退任。這確保他們有足夠的機會為即將到來的空缺推薦候選人。監事會有權拒絕擬議的建議。此外,勞資委員會有更大的權利向三分之一的監事會成員提出建議。這一增強的推薦權意味着,監事會只有在以下情況下才能拒絕工務委員會的建議:(1)如果有關人員不合適,或(2)如果被推薦人被任命為監事會成員,監事會將不會正式組成。根據監事會的輪換時間表,監事會成員的任期最長為四年或較短的任期。監事會成員有資格連任,任期最長為四年。在此之後,成員可再次獲得連任,最長任期為兩年。這一任命的最後任期可延長不超過兩年。輪換時間表可在我們網站的治理部分找到。如果股東大會對監事會失去信心,它可以絕對多數票撤回對監事會的信任,該絕對多數票至少佔已發行資本總額的三分之一。這項決議將導致立即解散整個監事會。在這種情況下,阿姆斯特丹上訴法院企業商會應根據管理委員會的要求任命一名或多名監事會成員。監事會委員會監事會在保留全面責任的同時,將部分任務和職責分配給四個委員會:審計委員會、薪酬委員會、遴選和提名委員會和技術委員會。關於監事會委員會的更多信息可以在監事會報告中找到,也可以在我們網站上公佈的委員會章程中找到。


ASML年度報告2021年99 Gerard J.Kleisterlee(1946,荷蘭)自2015年起擔任監事會成員;第二屆任期於2023年屆滿監事會主席、遴選和提名委員會主席、技術委員會成員Gerard J.Kleisterlee於2015年加入監事會,自2016年以來一直擔任監事會主席。他於2001年至2011年擔任皇家飛利浦NV董事會主席兼首席執行官,自1974年以來一直在該公司工作。2011至2022年間,Gerard擔任沃達豐集團董事會主席。2010年至2020年5月,擔任荷蘭皇家殼牌石油公司-B董事非執行董事。目前,傑拉德是IBEX有限公司的獨立董事會成員。安託瓦內特(Annet)P.Aris(1958,荷蘭)自2015年起擔任監事會成員;第三屆任期於2024年屆滿,2021年起任監事會副主席,薪酬委員會、技術委員會和遴選提名委員會委員,Annet Aris自2015年起擔任監事會成員。她是法國歐洲工商管理學院戰略學高級副教授,自2003年以來一直擔任這一職位。從1994年到2003年,她是德國麥肯錫公司的合夥人,直到2019年,她一直是託馬斯·庫克集團的非執行董事董事。她還是Jungheinrich AG、Randstad Holding NV和合作銀行U.A.Johannes(Hans)M.C.Stork(1954,美國人)監事會成員,自2014年起擔任監事會成員;第二屆任期於2022年屆滿,漢斯·斯托克於2014年加入監事會。他是高級副總裁,安森美半導體公司的首席技術官,他自2011年以來一直擔任這個職位。在此之前,漢斯曾擔任過一系列高級職位,包括IBM公司的高級經理、惠普公司超大規模集成電路研究實驗室的董事、德克薩斯儀器公司的高級副總裁兼首席技術官以及應用材料公司的集團副總裁兼首席技術官總裁。他還一直是Sematech的董事會成員,目前是IMEC的科學顧問委員會成員。馬克。M.D.Durcan(1961年,美國)自2020年起擔任監事會成員;第一屆任期於2024年屆滿技術委員會主席、遴選和提名委員會成員Mark Durcan於2020年被任命為監事會成員。2012年至2017年,他擔任美光科技公司首席執行官,1984年加入公司,並在被任命為首席執行官之前擔任過各種管理職位。此外,馬克是飛思卡爾半導體和MWI獸醫供應公司的董事員工。此外,他還是美國卑爾根公司董事會成員、美國萊斯大學(德克薩斯州)董事會成員、聖盧克醫療系統公司(愛達荷州)董事董事會成員和自然智能系統CA Private AI公司董事董事會成員。創業公司。


ASML年度報告2021年100特里·L·凱利(1961,美國)自2018年起擔任監事會成員;第一屆任期於2022年屆滿薪酬委員會主席、遴選和提名委員會成員特里·凱利自2018年以來一直擔任監事會成員。在此之前,她於2005年至2018年擔任W.L.Gore&Associates首席執行官兼總裁,自1983年以來一直在Gore擔任各種管理職務。她還在戈爾的董事會任職至2018年7月。特里是內穆爾基金會的受託人、特拉華大學的副主席和Unidel基金會的受託人。她也是聯合租賃公司的董事會成員。羅爾夫-迪特爾·施瓦布(1952年,德國)自2015年起擔任監事會成員;第二任期於2023年屆滿審計委員會主席兼薪酬委員會成員羅爾夫-迪特爾·施瓦布自2015年以來一直擔任監事會成員。2006年至2014年,他擔任皇家帝斯曼NV的首席財務官和管理委員會成員。在此之前,他是拜爾斯多夫股份公司的首席財務官和執行董事會成員。他還曾在拜爾斯多夫股份公司和寶潔擔任過財務、IT和內部審計方面的各種管理職位。沃倫·D·A·伊斯特(Warren D.A.East)(1961年,英國)自2020年起擔任監事會成員;第一屆任期於2024年屆滿審計委員會成員沃倫·伊斯特於2020年成為監事會成員。沃倫自2015年以來一直擔任勞斯萊斯集團的首席執行官。1985年至1994年,他在德克薩斯儀器有限公司度過了他的早期職業生涯。然後,他加入了ARM Holdings,Plc,在那裏他擔任了各種管理職位,並於2001年至2013年被任命為首席執行官。比爾吉特·科尼克斯(1965年,比利時人)自2021年起擔任監事會成員;第一屆任期於2025年屆滿審計委員會成員比爾吉特·科尼克斯於2021年成為監事會成員。自2021年6月以來,Birgit一直擔任Sonova Holding AG的首席財務官和管理委員會成員。從2018年到2021年1月1日,比爾吉特是途易股份公司執行董事會成員兼首席財務官。在此之前,她是比利時媒體、有線電視和電信公司Telenet Group NV的首席財務官。在此之前,她曾在強生、喜力、田納科和裏德·埃爾塞維爾擔任過各種財務管理職位。


101與理事會有關的其他事項下節討論管理委員會和監事會都適用的若干專題。薪酬和股權管理委員會的薪酬由監事會根據薪酬委員會的建議,根據股東大會通過的薪酬政策確定。現行的薪酬政策是2021年大會通過的。監事會的薪酬是根據薪酬政策確定的。現行的薪酬政策是2021年大會通過的。監事會的薪酬並不取決於我們的(財務)結果。監事會成員不會獲得ASML股票或收購ASML股票的權利,作為其薪酬的一部分。獲得或已經獲得ASML股份或收購ASML股份的權利的管理委員會和監事會成員必須打算將這些股份僅用於長期投資。在完成ASML股票交易時,管理委員會和監事會成員必須遵守我們的內幕交易規則。管理委員會和監事會成員對ASML股票進行的任何交易都要向荷蘭AFM報告。目前沒有任何監事會成員擁有任何ASML股份或收購ASML股份的權利。我們不會也不會向管理委員會和監事會成員提供任何個人貸款、擔保或類似的東西。我們的《公司章程》規定,管理委員會和監事會成員不得因其任務的直接結果而提出索賠,但此類索賠不得歸因於各自成員的故意不當行為或故意魯莽。我們還通過對每名成員分別簽署賠償協議,對管理委員會和監督委員會的成員進行了賠償。關於管理委員會和監事會薪酬的詳細信息可在監事會-薪酬報告中找到。2021年8月6日,美國證券交易委員會批准了納斯達克證券市場修訂上市標準以鼓勵董事會多元化並要求納斯達克上市公司披露董事會多元化的提案。根據修訂的上市標準,ASML作為一家外國私人發行人,必須至少有兩名不同的監事會成員,或解釋未能達到這一目標的原因。此外,要求在表格20-F的年度報告中列入董事會多樣性彙總表,其中載有關於監事會成員的某些人口統計資料和其他信息。ASML目前符合多樣性要求,因為我們目前在監事會中有三名女性和五名男性成員。董事會多樣性矩陣如下所示。董事會多樣性矩陣(12月31日的狀況,2021)主要行政辦公室所在國家荷蘭外國私人發行人是母國法律禁止披露的監事會成員總數8(2020:9)女性男性非二元未披露第一部分:性別認同董事3(2020:3)5(2020:6)0(2020:0)0(2020:0)第二部分:母國管轄範圍內代表人數不足的個人0(2020:0)0(2020:0)0(2020:0)0(2020:0)LGBTQI+0(2020:0)0(2020:0)0(2020:0)0(2020:0)未披露人口背景0(2020:0)0(2020:0)0(2020:0)


102 2021年9月28日,荷蘭議會通過了一項性別多樣性法案,為荷蘭上市公司的監事會引入了一個配額,根據該配額,監事會的組成應至少包括三分之一的男性和女性。如果不遵守這一要求,新的任命將被宣佈為無效。此外,該法案還要求為大型上市和非上市荷蘭NV和BV的董事會和高級管理層設定雄心勃勃的性別平衡目標。這項性別多樣性法案已於2022年1月1日生效。每年,截至2022年報告年度,公司必須向荷蘭社會及經濟理事會和管理報告報告在實現性別均衡目標方面取得的進展。目前,監事會符合荷蘭性別多樣性法案的性別標準,因為監事會中男性和女性都有代表,八名成員中至少有三名。我們認識到多樣性和包容性的重要性:多元化和包容性的員工隊伍提供了繼續創新和推動業務向前發展所需的各種聲音和觀點的必要組合。事實證明,在ASML這樣的技術環境中,確保平衡的性別代表性是具有挑戰性的。總體而言,全球STEM(科學、技術、工程和數學)人才庫稀缺,招聘女性人才更具挑戰性。我們的研發人員中有15%是女性。近90%的工作崗位與STEM相關,而高科技行業的同行有更多樣化的、與STEM無關的工作崗位。ASML非常希望看到更多的婦女現在和將來在工程和科學領域從事職業,從而增加我們未來的人才庫,以便將來有更多的婦女擔任技術職位和(高級)管理職位,包括管理委員會。我們行業的高度專業化意味着實現這種平衡是一個長期的過程。我們正在積極參與多個教育項目,以擴大渠道,部署多項倡議,在未來的女性人才庫中促進STEM教育,並繼續培養一種環境,使我們現有的勞動力能夠蓬勃發展。自2020年以來,我們一直在制定和正規化我們的多樣性和包容性方法。我們在2021年成立了全球多樣性與包容理事會,該理事會由代表ASML採取行動的高級領導人組成,提供思想領導。理事會由管理委員會的一名成員擔任主席,負責對成果進行戰略問責,對多樣性和包容性舉措進行治理和監督,並促進全公司對目標的問責。我們的多元化和包容性戰略包括以下內容:·通過讓機會更可見和更容易獲得來吸引更多的人才庫·創建共享指標以更清晰地評估進展·確保包容性領導行為嵌入我們的文化·在我們的人才實踐中包含不同的視角·為員工提供更多參與和推動其職業生涯的方式我們的目標是代表現有的熟練勞動力。創造一種環境,讓所有人都感到受歡迎,知道自己的歸屬感,並看到擺在他們面前的職業道路,這需要組織各級的多樣性。我們的目標是通過培養一種包容所有人的文化來增加我們勞動力的多樣性。我們的員工調查@ASML每年都會衡量包容程度。2021年,我們的納入得分為83%,而全球表現最好的公司的這一比例為82%。我們的目標是在持續的基礎上達到或提高員工的包容性。要做到這一點,我們設定的目標是在2024年與這份比較公司名單中排名前25%的公司取得+/-3%的平杆得分。2021年,我們在全體員工和高級管理人員的性別多元化方面取得了進展。女性員工現在佔我們全球員工總數的18%。與去年相比,這一改善增加了1%。我們的目標是在邁向2024年的過程中加強這一趨勢。我們認為,解決這一問題的最有效方法是專注於我們現有團隊成員的增長,並擴大我們人才庫的多樣性。我們已經制定了目標,到2024年將女性的招聘比例從2021年的20%提高到23%。我們在這一領域仍有工作要做,並已制定了側重於女性領導層的具體目標。目前女性在這一級別的比例為8%,我們的目標是到2024年達到12%。為了實現這一目標,我們制定了一個目標,將女性領導者的招聘比例從2021年的12%提高到2024年的20%。我們相信,這些人才庫將成為榜樣,為更多人鋪平道路。我們的雄心是讓我們的員工隊伍更加多樣化,因為我們相信這是吸引和留住聰明人才的最佳方式之一,以幫助我們推動技術創新,以滿足客户的需求。有關我們的多元化和包容性戰略、倡議、女性領導和業績數據的更多信息,請參閲:我們2021年的業績-社會-我們的員工-員工經歷和非財務報表-非財務指標-我們的員工。


ASML年度報告2021年103大會股東大會(AGM)每年至少舉行一次,通常在荷蘭的維爾德霍温舉行。然而,由於新冠肺炎疫情的爆發,根據《新冠肺炎司法與安全暫行法案》,2021年的年度股東大會完全是虛擬舉行的。年度股東大會的議程通常包括以下議題:·討論過去一個財政年度的管理報告和通過財務報表;·討論股利政策和批准任何擬議的股息;·對過去財政年度的薪酬報告進行諮詢投票;·免除管理委員會和監事會成員在上一個財政年度履行職責的責任;·有限授權管理委員會發行(權利)ASML資本中的股份,排除這種發行的優先購買權,以及回購股份和註銷股份;管理委員會、監事會或股東根據荷蘭法律和公司章程提出的任何其他議題。由監事會、管理委員會或股東提上議程的提案,只要他們已根據適用的法律規定提交提案,就會得到討論和解決。代表ASML已發行股本至少1.0%或代表至少5000萬歐元股票價值的股東有權在大會日期前最遲60天將項目列入股東大會議程。監事會或管理委員會認為必要時,可召開特別股東大會。此外,如果一名或多名共同代表已發行股本至少10%的普通或累積優先股股東向監事會和管理委員會提出書面要求,則必須召開特別股東大會。申請必須詳細説明要處理的業務。根據荷蘭法律的規定,股東大會不遲於會議前42天通過ASML網站發佈公告召開。記錄日期設定在年度股東大會當天前28天。在記錄日期登記為股東的人有權出席會議並行使其他股東權利。管理委員會和監事會通過對議程的解釋以及為此目的必要或有幫助的其他文件,向股東提供與議程上的議題有關的信息。議程表明哪些議程項目是表決項目,哪些項目僅供討論。所有與大會有關的文件,包括議程和解釋,都張貼在我們的網站上。ASML股東可以指定一名代表,代表他們在年度股東大會上投票。我們還使用互聯網代理投票系統,方便股東參與,而不必親自出席。我們還為股東提供了在年度股東大會之前向獨立的民法公證人發出投票委託書或投票指示的選項。我們不向我們的股東徵集或提名代理人。利益衝突和關聯方交易利益衝突程序納入了管理委員會和監督委員會的議事規則。這些程序反映了荷蘭法律以及《守則》關於利益衝突的原則和最佳做法規定。2021年,除正常的薪酬安排外,ASML或ASML的任何子公司、任何大股東與任何管理委員會成員、官員、監事會成員或其任何親屬或配偶之間沒有任何交易,目前也沒有任何交易。根據荷蘭法律,管理委員會成員不得擔任荷蘭法律規定的另外兩家以上大公司或大型基金會的監事會成員。管理委員會成員不得擔任大公司監事會主席。管理委員會成員在接受另一家大公司或基金會的職位之前,必須事先獲得監事會的批准。管理委員會成員還必須向監事會通報他們已履行或將履行的其他重要職能。荷蘭法律規定,監事會成員不得在荷蘭法律定義的大公司或大型基金會中擔任超過五個監事會職位,董事長職位計算兩倍。在2021年財政年度,管理委員會和監事會的所有成員都遵守了上述要求。


104決議由大會以絕對多數票通過(除非《章程》或荷蘭法律要求不同比例的票數),此類會議一般沒有法定人數要求。年度股東大會的投票結果將在會議後15天內在我們的網站上公佈。年度股東大會的報告草稿在我們的網站上提供,或在會議後三個月內應要求提供。股東有機會在隨後的三個月內提出意見,之後會議主席和祕書將通過該報告。通過的報告也可在我們的網站上查閲和索取。除了每年在年度股東大會上提交的項目外,在適當遵守法定規定的情況下,股東大會還擁有其他權力。這些措施包括:·修訂組織章程;·如果管理委員會並未被大會指定用於此目的,則發行股票;以及虛擬年度股東大會鑑於新冠肺炎大流行,我們於2021年組織了一個完全虛擬的年度股東大會,通過使股東能夠通過視頻網絡直播關注會議進程並在會議期間進行電子投票,從而實現了年度大會的虛擬出席。除了通過書面或電子委託書提前投票的機會外,實際上還提供了參加年度股東大會的機會。由於我們高度重視與股東的互動,我們邀請股東在年度股東大會之前提交有關議程項目的問題,我們為在阿姆斯特丹泛歐交易所交易的股票持有人提供了通過虛擬會議平臺或通過視頻連接以書面或口頭方式提出現場問題的機會。我們在會前和會中共收到了19個問題。所有問題都在年度股東大會上得到了回答。·通過管理委員會和監事會成員的薪酬政策。(建議)修改公司章程需經監事會批准。提出修訂《公司章程》的股東大會應符合法定人數要求:超過半數的已發行股本必須有代表出席;該提議需要至少四分之三的投票權。如未達到法定人數要求,應在第一次會議後四周內召開下一次股東大會。在第二次會議上,該決議可獲得至少四分之三的票數通過,而不論所代表的股本是多少。如管理委員會提出修訂組織章程細則的決議案,則不論股東大會上所代表的股本為何,該決議案將以絕對多數票通過。本公司於2013年2月8日向美國證券交易委員會提交的6-K表格(下稱“本公司章程”)的附件99.1列出了本公司章程中最重要的條款,並將其併入本文作為參考。


ASML年報2021年105 82,915,935股普通股由286名登記持有人持有,登記地址在美國。由於我們的某些普通股是由經紀人和代名人持有的,美國的記錄持有人數量可能不能代表實益持有人的數量,也不能代表實益持有人居住的地方。每股普通股由900股零碎股份組成。零碎股份使其持有者有權獲得零碎股息,但不賦予投票權。只有那些直接在荷蘭的股份登記簿中持有股票的人,才能持有零頭股份,這些股份由我們在荷蘭的地址為5504Dr Veldhoven,de Run 6501,荷蘭,或在紐約的股份登記簿中持有,由JP Morgan Chase Bank,N.A.,P.O.Box 64506,St.Paul,MN 55164-0506,United States持有。根據荷蘭證券銀行轉賬交易法,通過荷蘭中央證券託管機構EuroClear Nederland維持的存款系統或通過託管信託公司持有普通股的股東不能持有零碎股份。沒有發行普通股B股,也沒有發行累積優先股。特別表決權、限制表決權和股份轉讓我國股本中對已發行股份沒有特別表決權。2012年,我們向三家主要客户-英特爾、臺積電和三星-發行了股票,作為客户共同投資計劃(CCIP)的一部分,以加快ASML對EUV的開發。根據這一計劃,參與客户為某些開發項目提供資金,並投資於ASML的普通股。目前,只有一個參與客户仍然(直接或間接)持有普通股。某些投票權限制適用於與CCIP相關的普通股。關於這些普通股的這些投票權限制列於兩個股本之間的基礎協議中ASML的法定股本為1.26億歐元,分為:股份類型股份數量每股面值投票數700,000,000歐元每股0.09歐元9股普通股699,999,000歐元每股0.09股B 9,000歐元每股0.01歐元1面值0.09歐元的已發行和繳足股款普通股如下:截至2019年12月31日的年度2020已發行普通股面值0.09歐元419,810,706 416,514,034 402,601,613已發行普通股,面值0.09 5,848,998 2,983,454 3,873,663總已發行普通股,面值0.09 425,659,704 419,497,488 406,475,276 ASML及相關客户。CCIP發行的股票由基金會持有,基金會向CCIP參與者發行存託憑證。在CCIP推出時,共發行了96,566,077份普通股存託憑證。自那以後,隨着相關客户在禁售期屆滿後拋售,這一數字有所下降。目前,無論是根據荷蘭法律還是在ASML的公司章程中,對ASML股本中的普通股轉讓都沒有限制。根據ASML的公司章程,累計優先股的每一次轉讓都必須得到監事會的批准。發行和回購股份我們的董事會有權發行普通股和累積優先股,只要股東大會授權它這樣做。管理委員會需要得到監事會的批准才能解決這個問題。大會的授權只能在不超過五年的一定期限內給予,每次可以延長不超過五年。如果股東大會沒有授權管理委員會發行股票,股東大會將被授權根據管理委員會的提議發行股票,前提是監事會批准了這種提議。ASML普通股的持有者擁有優先購買權,優先購買權與他們持有的普通股的總面值成比例。這種優先購買權可以受到限制,也可以被排除在外。普通股持有人對任何為對價而發行的普通股不享有優先購買權,但現金或向員工發行的普通股除外。如獲股東大會授權,經監事會批准,管理委員會有權限制或排除普通股持有人的優先購買權。


ASML年度報告2021年106·根據基金會董事會的意見,由一個或多個股東(試圖)一致行動行使投票權,與ASML的利益、ASML的業務或ASML的利益相沖突。基金會的目標基金會的目標是照顧到ASML以及由ASML維持的和/或與ASML有關聯的企業的利益,以儘可能好的方式保護ASML、這些企業和所有相關方的利益,並儘可能阻止與這些利益相沖突的影響,這可能會影響ASML和這些公司的獨立性或身份,並盡其所能阻止與上述有關或可能有助於上述利益的一切事情。基金會旨在通過收購和持有ASML資本中的累積優先股以及行使這些股份所附帶的權利,特別是投票權來實現其目標。優先股選擇權優先股選擇權賦予基金會獲得基金會所需數目的累積優先股的權利,但該數目的累積優先股的總面值不得超過行使優先股選擇權時發行的普通股的總面值。認購價將等於它們的名義價值。在最初發行累積優先股時,只需支付認購價的四分之一,其餘四分之三的面值僅在ASML召回這一金額時支付。行使優先股選擇權可有效稀釋已發行普通股的投票權一半。取消累計優先股取消和償還ASML發行的累計優先股需要得到股東大會的授權,並經管理委員會提出並經監事會批准。如果優先股選擇權被行使,並因此發行累積優先股,ASML將應基金會的要求,啟動回購或取消基金會持有的所有累積優先股。在這種情況下,ASML有義務儘快實施回購和相應的取消。註銷將導致償還已支付的金額,並免除支付累積優先股的義務。只有在累計優先股全部繳足股款後,才能進行回購。如果基金會沒有要求ASML在這些股份發行後20個月內回購或註銷基金會持有的所有累積優先股,我們將被要求召開股東大會,以決定回購或註銷這些股份。為了在2021年的年度股東大會上發行股票,董事會被授權在2021年4月29日至2022年10月29日期間,根據監事會的批准,發行股份和/或其權利,最多可佔2021年4月29日我們已發行股本的5%,外加2021年4月29日我們已發行股本的5%,這些股份和/或權利可能與合併、收購和/或(戰略)聯盟有關而發行。我們的股東還授權管理委員會在2022年10月29日之前,根據監事會的批准,限制或排除普通股持有人的優先購買權,最多不超過我們已發行股本的5%,與發行股份和/或股份權利的一般授權有關,以及與授權發行股份和/或與合併、收購和/或(戰略)聯盟有關的股份權利的額外5%。我們可以隨時回購我們已發行的普通股,但要符合荷蘭法律和我們的公司章程的要求。任何此類回購均須經監事會批准和股東大會授權,授權期限不得超過18個月。在2021年股東周年大會上,董事會被授權在2021年10月29日之前回購股份,回購金額最多為2021年4月29日已發行股本的10%,回購價格介於所購普通股面值和這些證券在泛歐交易所阿姆斯特丹交易所或納斯達克市場價格的110%之間。有關我們的股票回購計劃的更多詳細信息,請參閲:合併財務報表-合併財務報表附註-附註22股東權益。ASML優先股基金會根據荷蘭法律成立的ASML優先股基金會(Stichting Pferente Aandelen ASML)已被授予獲得ASML股本中優先股的選擇權。基金會可在基金會董事會認為涉及ASML的利益、ASML的業務或ASML的利益相關者的利益的情況下行使優先股選擇權。在下列情況下可能會出現這種情況:·宣佈或對ASML的股票進行公開競購,或有理由預期在沒有與ASML就此類競購達成任何協議的情況下進行此類競購;或


ASML年度報告2021年107董事會基金會是獨立於ASML的。基金會董事會由來自荷蘭商界和學術界的四名獨立成員組成。基金會董事會於2021年12月31日由下列成員組成:A.P.M.範德普爾先生、S.Perrick先生、A.H.Lundqvist先生和J.Streppel先生。除上述與基金會作出的安排外,ASML尚未建立任何其他反收購裝置。大股東《荷蘭金融市場監管法》和美國證券法都對披露上市公司的資本權益和投票權作出了規定。下表列出了向荷蘭AFM或美國美國證券交易委員會報告實益擁有普通股至少佔我們已發行和已發行普通股的3.0%(美國證券交易委員會為5.0%)的每位股東所擁有的普通股總數。下表還包括截至2021年12月31日我們管理委員會成員擁有的普通股總數。以下列出的有關股東的信息基於截至2022年1月31日提交給美國證券交易委員會和AFM的公開文件。6類資本研究和管理公司1 63,658,826 15.81%貝萊德股份有限公司2 32,024,422 7.95%百利吉福公司3 18,262,995 4.54%ASML現任董事會成員(5人)4,589,892 0.02%1.如2020年2月28日向AFM報告,資本研究和管理公司(“CRMC”)報告572,929,434項投票權,相當於63,658,826股普通股(基於每股9票),但不報告與該等股份相關的所有權。Capital World Investors在2020年2月14日提交給美國證券交易委員會的附表13-G/A中報告稱,由於與CRMC的關係,Capital World Investors是我們34,865,768股普通股的實益擁有人。Capital World Investors是Capital Research and Management Company的一個部門,它及其投資管理子公司和聯屬公司凱投國際、凱投國際、凱投國際有限公司、凱投國際和凱投國際K.K.(在2021年2月16日提交給美國證券交易委員會的附表13-G/A中報告)宣佈,它是我們28,032,968股普通股的實益擁有人。我們認為部分或全部這些股份包括在如上所述的由Capital Research and Management Company擁有的股份中。2.僅根據貝萊德股份有限公司於2021年1月29日提交給美國證券交易委員會的附表13-G/A;貝萊德報告對其中28,755,630股具有投票權。2021年5月10日提交給AFM的公開文件顯示,根據當時已發行股票和投票權的總數,間接資本利息總額為5.95%,投票權為5.81%。3.2019年10月1日提交給AFM的一份公開文件顯示,Baillie Gifford&Co擁有147,694,140股投票權,相當於18,262,995股(基於每股9票),但沒有與這些股票相關的所有權。4.不包括授予管理委員會成員的未歸屬股份。欲瞭解更多信息,請參閲領導力與治理-薪酬報告。5.監事會成員不持有任何股份。6.佔截至2021年12月31日已發行和已發行普通股總數的百分比402,601,613股,其中不包括已發行但由ASML以國庫持有的3,873,663股普通股。向AFM報告的股份所有權百分比是以已發行普通股(包括庫存股)總數的百分比表示的,因此,此表中反映的百分比可能與向AFM報告的百分比不同。


ASML《2021年年度報告》108《財務報告和審計》ASML出版了關於2021年財政年度的以下年度報告:·根據荷蘭法律的要求編寫的法定年度報告。本報告所載財務報表是根據《荷蘭民法典》第2冊第9部分和歐盟《國際財務報告準則》編制的;·表格20-F年度報告,根據《交易法》的要求編制。其中所包括的財務報表是按照美國公認會計準則編制的。這兩份報告具有相同的定性基礎,並描述了半導體行業、ASML和ASML股票特有的相同風險因素。我們還通過以下方式提供敏感性分析:·對ASML財務報表的敍述性解釋;·分析財務信息的背景;·關於我們的收益和現金流的質量和可變性的信息。關於編制年度報告的過程,我們對報告的內容和佈局有廣泛的指導方針。這些準則主要基於上述適用的法律和法規。關於這些和其他財務報告的編制過程,我們採用內部程序來保障該等信息的完整性和準確性,作為其披露控制和程序的一部分。披露委員會協助管理委員會監督ASML的披露活動,並確保遵守荷蘭和美國法律規定的適用披露要求以及其他監管要求。審計委員會和監事會經常討論這些內部程序。更多內容請參閲:我們在2021年的表現-治理-我們如何管理風險-企業風險管理,其中討論了ASML的內部風險管理和控制系統。監事會審查和批准了管理委員會編制的ASML 2021年財務報表,所有監事會成員都簽署了這份報表。畢馬威已經對我們的財務報表進行了適當的審查,審計師的報告包括在綜合財務報表中。外部審計根據荷蘭法律,我們的外部審計師是由股東大會根據監事會任命的提名任命的。監事會的提名依據是審計委員會和管理委員會的諮詢意見,這兩個委員會每年向監事會提交一份關於外聘審計員的業績、與外聘審計員的關係及其獨立性的報告。ASML的現任外聘審計員畢馬威於2015年首次由大會任命,擔任2016報告年度的審計人員,此後每年都被重新任命。在2020年年度股東大會上,畢馬威被任命為2021年報告年度的外聘審計師。審計委員會審查和批准外聘審計員對財政年度內計劃進行的審計的審計計劃。除其他外,審計計劃還包括外聘審計員在對年度賬目以外的季度結果進行有限程序方面的活動。擬議的服務可在年初由審計委員會預先批准(年度預先批准),或在特定聘用的情況下由審計委員會在本年度預先批准(具體預先批准)。一年一度的預先審批是基於要提供的服務的詳細分項清單,該清單旨在確保在確定一項服務是否已獲得批准時沒有管理層的自由裁量權,並確保向審計委員會通報其正在預先核準的每項服務。荷蘭法規要求嚴格分離為荷蘭公共利益實體提供的審計和諮詢服務,而美國法規限制美國上市公司的審計師可以提供的服務。荷蘭法律禁止外聘審計員在進行審計時接受其他服務。審計委員會監督荷蘭和美國關於外聘審計師提供服務的規定的遵守情況。外聘審計員的報酬由審計委員會代表監事會在徵求管理委員會的意見後批准。由於審計委員會在這一領域擁有最相關的洞察力和經驗,監事會已將這些職責下放給審計委員會。有關首席會計師費用和服務的更多信息,請參閲:其他附錄-附錄-首席會計師費用和服務。原則上,外聘審計師出席所有審計委員會會議。這些會議討論了外聘審計員的調查結果。審計委員會向監事會報告與外聘審計員討論的議題,包括外聘審計員關於審計年度報告的報告以及年度報告的內容。此外,外聘審計員可出席討論年度外聘審計報告的監事會會議。外聘審計師還可以參加監事會會議,討論季度財務結果。如果外聘審計員發現財務報告審計內容不符合規定,審計委員會將立即得到外聘審計員的通知。


ASML年報2021年109外聘核數師出席股東周年大會,就股東對核數師綜合財務報表報告提出的問題作出迴應。內部審計我們內部審計職能的作用是通過執行獨立的程序,如基於風險的運營審計、IT審計和合規審計,評估我們的內部控制系統。內部審計部門直接向審計委員會和管理委員會報告。年度內部審計計劃由審計委員會、管理委員會和監事會討論並批准。每季度與審計委員會討論內部審計結果的後續行動和與計劃相比取得的進展。外部審計師和內部審計部定期舉行會議。公司信息ASML Holding N.V.是一家通過其子公司運營的控股公司。我們在荷蘭、美國、意大利、法國、德國、英國、愛爾蘭、比利時、韓國、臺灣、新加坡、中國、香港、日本、馬來西亞和以色列設有運營子公司。更多內容請參閲:合併財務報表-合併財務報表附註-附註27子公司和聯營公司。美國上市要求由於阿斯麥的紐約股票在納斯達克證券市場有限責任公司(“納斯達克”)上市,納斯達克公司治理標準原則上適用於我們。然而,納斯達克規則規定,除某些例外情況外,外國私人發行人可以遵循本國的做法,而不是納斯達克的公司治理標準。我們的公司治理實踐主要基於荷蘭的要求。下表列出了基於上述例外情況,反壟斷法替代納斯達克規則所遵循的做法。法定人數ASML沒有遵循納斯達克適用於普通股東大會的法定人數要求。根據荷蘭法律和普遍接受的荷蘭商業慣例,ASML的公司章程規定,股東大會沒有普遍適用的法定人數要求。委託書徵集沒有遵循納斯達克關於徵集委託書和為股東大會提供委託書的要求。ASML為股東大會提供委託書並徵集委託書。荷蘭公司法規定,荷蘭上市公司的強制性(參與和投票)日期為股東大會日期前28天。在該記錄日期登記的股東有權出席股東大會並行使其作為股東的權利,而不論在該記錄日期後出售股份。分發年度報告ASML不遵守納斯達克關於在股東周年大會之前向股東分發包含經審計財務報表的年度報告副本的要求。根據荷蘭公司法或荷蘭證券法,或阿姆斯特丹泛歐交易所,不要求向股東分發我們的年度報告。此外,荷蘭公司不分發年度報告是普遍接受的商業慣例。這在一定程度上是因為荷蘭的無記名股份制度使得為了分發年度報告而保留無記名股份持有者的當前名單是不切實際的。相反,我們將於股東周年大會召開前42天,於荷蘭公司總部(以及會議召集通知中所述的荷蘭上市代理辦事處)提供我們的年度報告。此外,在年度股東大會之前,我們會在我們的網站上張貼一份我們的年度報告。股權薪酬安排ASML沒有遵循納斯達克的要求,即高管、董事或員工可以獲得的股票期權或購買計劃或其他股權薪酬安排必須獲得股東的批准。根據荷蘭法律或普遍接受的慣例,荷蘭公司在高管、董事或員工可獲得的股權薪酬安排上,不需要獲得股東的批准。股東大會通過管理委員會的薪酬政策,批准管理委員會的股權薪酬安排,並批准監事會的薪酬。薪酬委員會評估管理委員會個別成員在短期和長期量化業績方面的業績,全體監事會評估量化業績標準。員工權益補償安排由管理委員會在股東大會批准的範圍內通過。遵守公司治理守則我們密切關注公司治理領域的發展,以及相關公司治理規則對ASML的適用性。對ASML公司治理結構或《公司治理守則》應用的任何重大改變都將提交股東大會討論。我們認為,ASML完全符合荷蘭公司治理準則。管理委員會和監事會,維爾德霍温,2022年2月9日


ASML年度報告2021年110我們如何管理風險ASML通過企業風險管理(ERM)框架管理風險,該框架將風險管理整合到我們的日常業務活動和戰略規劃中。企業風險管理我們通過定義良好的治理結構和強大的企業風險管理流程部署我們的企業風險管理框架。風險和業務保障職能推動了整個ASML及其附屬機構的ERM流程和相關活動。它通過制定標準和使管理層能夠使ASML的治理、風險管理、內部控制和合規更加高效和有效,採取系統的方法來識別、管理和監測風險,以追求我們的業務目標。該框架還有助於確定機會,使我們能夠實現我們的目標並實現持續的可持續增長。錯誤管理是一個持續的過程。它的相關活動定期重複,以及時查明和處理風險,其結果仍然與決策目的相關。我們負責風險和業務保障的總裁副主管向ASML首席財務官彙報,負責領導企業風險管理框架的開發和維護,並確保企業風險管理流程的實施。ASML採用了國際標準化組織31000:2018年標準作為其企業風險管理的基礎。此外,風險和業務保證部副總裁負責領導安全、內部控制職能,並負責合規流程的制定和維護。風險管理治理結構風險偏好·風險管理政策·CRC小組委員會(治理)·風險評估結果·風險應對進展·事件監事會審計委員會公司風險委員會風險監督披露委員會內部控制委員會評估對財務報告的內部控制要求調查特定風險主題·兩年一次的風險審查·風險專題反饋·對控制有效性的斷言·季度進度報告·控制有效性·風險所有者管理委員會監事會和審計委員會監事會根據定期風險審查,對管理層對識別和減輕關鍵風險領域的反應進行獨立監督。監督委員會的審計委員會對機構風險管理進程進行獨立監督,並根據季度最新進展情況及時對優先行動採取後續行動。管理委員會管理委員會負責管理與我們的業務活動相關的內部和外部風險,並確保我們遵守適用的法律和法規。管理委員會已將其風險監督委託給ASML的公司風險委員會。公司風險委員會公司風險委員會是一箇中央風險監督機構,負責審查、管理和控制ASML風險領域的風險,包括信息安全。它還批准了風險偏好、風險管理政策和風險緩解戰略。公司風險委員會由首席財務官擔任主席,由來自ASML所有部門的高級管理代表組成,包括首席執行官和首席運營官。


ASML年度報告2021年111披露委員會協助管理委員會監督ASML的披露活動,以及遵守荷蘭和美國法律以及適用的證券交易所法規和其他監管要求的適用披露要求。內部控制委員會內部控制委員會包括披露委員會的成員,根據薩班斯-奧克斯利法案第404條,在評估我們對財務報告和披露的內部控制時,內部控制委員會向披露委員會以及首席執行官和首席財務官提供建議。內部控制委員會主席向審計委員會、首席執行官和首席財務官通報本次評估的最新進展情況。主席還在提交給審計委員會的報告中列入了這一最新情況。風險所有者風險所有者監控ASML風險世界中的風險發展,並根據公司風險委員會定義的要求推動整個ASML組織的風險應對。ASML風險領域ASML風險領域是對可能對實現我們的業務目標產生重大不利影響的風險的綜合概述。它由38個風險類別組成,分為6個風險類型。這使我們在評估風險時能夠在ASML中採用一致的方法。我們考慮到廣泛的內部和外部信息來源,例如宏觀經濟和行業趨勢、相關準則和立法,以及利益攸關方在所有領域的需求和期望。每年審查、更新和批准風險範圍,如果內部和/或相關的外部事態發展重大,則更頻繁地審查、更新和批准風險範圍。戰略和產品財務和報告合作伙伴人員運營·業務規劃風險·匯率風險·流動性風險·利率風險·資本可用性風險·交易對手信用風險·股東維權風險·披露/外部報告風險·行業週期風險·政治風險·氣候變化風險法律與合規·法律責任風險·違反法律法規風險·內部控制/合規風險·客户依賴風險·所有權成本風險·產品/服務質量風險·供應商戰略與業績風險·供應鏈中斷風險·知識管理風險·組織效能風險·人力資源風險·勞動條件風險·產品產業化風險·過程有效性與效率風險·資產保護風險·環境健康與安全風險·自身運營的連續性風險·信息安全風險·信息技術風險·產品組合風險·商業模式風險·併購風險·競爭風險·創新風險·產品管理風險·路線圖執行·知識產權ASML風險企業風險管理流程我們的企業風險管理流程提供了一個自上而下(公司層面)和自下而上(組織和流程層面)相結合的整體方法。這有助於我們確保在正確的級別執行風險識別、評估和管理。我們的企業風險管理流程正在不斷改進。例如,我們從2021年開始實施關鍵風險指標。定期風險評估的結果以及外部趨勢和新出現的風險的潛在影響包含在ASML風險圖景中。由於我們在一個動態的環境中運營,風險敞口可能會發生變化。公司風險委員會每季度審查、更新和討論ASML風險情況。風險的執行


ASML 2021年年度報告112評估是根據風險管理計劃和公司風險委員會批准的任何額外約定進行的。我們定義了應對相關風險的戰略,並在確定公司優先事項時將這些風險考慮在內。ASML定義了風險應對措施,目的是將風險降低到風險偏好定義的水平。風險管理流程風險偏好風險處理風險識別風險分析風險評估風險狀況風險評估企業風險委員會/風險所有者/新興風險協調和跟蹤風險所有者自下而上風險評估國家/部門管理層執行行動所有者風險評估風險應對風險偏好我們的風險偏好取決於風險的性質。ASML的風險偏好--ASML為實現其目標而願意接受的風險水平--可能會根據具體風險而有所不同,分為五個級別:厭惡、審慎、中等、高度和廣泛。我們的方法旨在將風險降低到我們的風險偏好中定義的水平。風險類型戰略和產品合作伙伴人員運營財務和報告法律和合規厭惡謹慎適度廣泛


ASML 2021年年度報告113風險事態發展下表列出了影響2021年一系列風險類別風險敞口的外部事態發展實例,包括我們的應對實例。下面列出的風險和風險應對措施並不詳盡。滿足需求的挑戰風險類別所有細分市場和我們的產品組合的需求都在不斷增長,這對我們來説是一個機遇,但也帶來了挑戰。我們的系統在需求激增的過程中至關重要。我們注意到,為了滿足這一需求,我們的端到端供應鏈正在擴大產能。芯片和材料短缺放大了這一點。此外,在當前競爭激烈的市場中,加大招聘和留住勞動力的力度越來越具有挑戰性。我們業務的增長也可能導致福利問題,越來越多地使用變通辦法,在某些情況下還會帶來不遵守內部流程和/或控制的風險。我們的流程和系統可能無法充分支持我們的增長和發展。我們一直並將繼續經歷的需求增長可能會改變客户的採購策略,減少對ASML的依賴。這可能會影響我們在某些細分市場的市場份額。·供應商戰略和績效·供應鏈中斷·產品工業化·人力資源·產品和服務質量·競爭·行業週期·政治·法律責任·流程有效性和效率·違反法律法規·風險應對·短期和長期提高製造能力和利用率·週期時間縮短·供應商支持,以提高搬運率和緩解芯片和材料短缺·改進薪酬提供和增強招聘活動·執行福利計劃·增加培訓計劃和入職經驗·內部控制框架和評估知識產權技術領先壓力風險類別ASML及其開放創新合作伙伴在技術和知識產權保護方面面臨更大壓力。ASML的存在是以人和知識為基礎的。未經授權披露ASML及其客户或供應商的信息可能會使競爭對手受益,對ASML申請專利的能力產生負面影響,或影響與客户和供應商的合作。我們的信息技術系統正遭受網絡攻擊,我們的供應商、客户和其他服務提供商也遭受此類網絡攻擊。我們致力於保護我們和我們合作伙伴的信息資產。我們觀察到,2021年的風險敞口仍然很高。·信息安全·知識產權·競爭風險應對·信息安全職能和信息安全政策,以實施控制措施,確保信息的授權使用·顯著增加我們的信息安全投資(人員、系統)和安全路線圖,以提高我們流程和系統的安全性·網絡防禦中心·安全事件響應程序到位,並至少每年測試一次·意識和培訓計劃·知識產權管理·專利和相關技術出版物監測


ASML年度報告2021年114地緣政治緊張風險類別出口限制正在上升,全球貿易正在從全球化轉向區域化,特別是在中國和美國以及爭取技術主權的國家之間。這可能會導致一個脱鈎的生態系統,並--長期而言--產能過剩。貿易和出口壁壘影響了我們向某些客户銷售和維護系統的能力,並通過限制我們在某些司法管轄區或某些客户銷售我們的產品和服務的能力來影響我們的業務。地緣政治緊張局勢還導致員工跨國流動受到限制。保護主義和官僚主義正在增加,以及對來自某些國家的國際知識工作者的限制(例如,限制技術准入、簽證/旅行限制)。我們的目標是盡我們所能為世界各地的所有客户提供服務和支持,同時遵守我們運營所在司法管轄區制定的法律和法規。2021年,政治緊張、保護主義和限制的風險敞口仍然很高。·政治·自身業務的連續性·人力資源·商業模式·行業週期·違反法律法規的行為·風險應對·監測地緣政治事態發展·按要求申請出口許可證·遵守(現有和新的)法規·與同行在全球宣傳新冠肺炎大流行風險類別新冠肺炎已蔓延至全球,導致隔離、旅行和工作場所限制、業務關閉和限制、供應鏈中斷、勞動力短缺、立法變化以及整體經濟和金融市場不穩定。這場大流行對全球經濟產生了影響。展望未來,形勢將如何發展,以及對全球GDP發展、(終端)市場以及我們的製造能力和供應鏈將產生什麼影響,仍存在不確定性。2021年,新冠肺炎疫情對我們運營的影響有限-與2020年相比,風險敞口更加可控。·自身運營的連續性·供應鏈中斷·環境、健康和安全·人力資源·流程有效性和效率·路線圖執行·信息安全風險應對·將我們員工的健康和安全作為我們的首要任務,並在全球範圍內實施預防措施·強大的財務能力,以應對經濟低迷·激活業務連續性管理計劃·積極參與我們的關鍵供應商,增加庫存·在客户現場實施虛擬遠程支持解決方案·實施促進(安全)遠程工作和支持員工福祉的措施


ASML年報2021年115風險因素在開展業務時,我們面臨許多風險,這些風險可能會干擾我們的業務目標。瞭解這些風險的性質是很重要的。我們通過使用ASML風險全域來評估我們的風險,包括六種風險類型(戰略和產品、財務和報告、合作伙伴、人員、運營、法律和合規)。以下風險因素被歸類為這六種風險類型。其中所述的任何風險和事件或情況都可能對我們的業務、財務狀況、經營結果和聲譽產生重大不利影響。這些風險不是我們面臨的唯一風險。一些風險可能還不為我們所知,而某些我們目前認為不重要的風險可能會在未來變得重要。戰略和產品我們未來的成功取決於我們對半導體行業風險類別中的商業和技術發展做出及時反應的能力:商業模式、創新我們在開發新技術和產品以及增強我們現有產品方面的成功取決於各種因素。這包括我們和我們的供應商研發計劃的成功,以及相對於競爭對手及時和成功地完成產品開發和設計。如果我們追求的幫助客户生產更小、更節能芯片的技術不如競爭對手開發的技術有效,或者如果我們的客户不採用我們開發的技術或採用不那麼專注於光刻產品的新技術架構,我們的業務將受到影響。我們的EUV 0.55 NA(High-NA)技術的成功,我們認為這是跟上摩爾定律的關鍵,仍然依賴於我們和我們的供應商不斷的技術進步。我們投入了大量的財政和其他資源來開發和引入新技術、產品和產品增強。如果我們在開發(或我們的客户不採用)新技術、產品和產品增強功能(如EUV 0.55 NA和多波束檢測)方面不成功,或者如果競爭對手成功引入替代技術或工藝,我們的競爭地位和業務可能會受到影響。此外,我們在新產品開發和產品增強方面進行了大量投資,但我們可能無法收回部分或全部投資。由於技術變化,我們還可能產生與庫存陳舊相關的成本。隨着技術複雜性的增加,這樣的成本可能會增加。由於我們系統的高度複雜性和成本,包括較新的技術,我們的客户可能會購買現有的技術系統,而不是新的尖端系統,或者可能會推遲他們對新技術系統的投資,因為考慮到他們的產品週期,這種投資不划算或不必要。全球經濟狀況影響我們的客户的投資決策,導致對新的領先系統的推出和需求的時間上的不確定性。我們的一些客户在實施其產品路線圖方面已經並可能繼續遇到延遲。這增加了降低引入新節點以及新系統的整體過渡期(或節奏)的風險。我們還依賴我們的供應商維護他們的開發路線圖,使我們能夠及時引入新技術。如果他們無法跟上步伐,無論是由於技術因素、缺乏財政資源或其他原因,這可能會阻止我們實現我們的發展路線圖。新產品的成功推出是不確定的,取決於我們成功執行研發計劃的能力風險類別:路線圖執行、創新我們的光刻系統和應用程序變得越來越複雜,相應地,開發新產品和技術的成本和時間週期也增加了。我們預計這樣的成本和時間段將繼續增加。特別是,開發新技術,如EUV 0.55 NA(高NA)和多波束,需要我們和我們的供應商進行大量的研發投資,以滿足我們和我們客户的技術需求。我們的供應商可能沒有或不願意投資必要的資源,以繼續(共同)開發新技術,直到這種投資是必要的程度。這可能會導致ASML向這樣的研發計劃提供資金,或者限制我們可以承擔的研發投資。此外,如果我們的研發計劃不能按時或根本不成功地開發所需的新技術,我們可能無法成功地推出新產品,無法收回我們的研發投資。我們面臨着激烈的競爭風險類:競爭光刻設備行業競爭激烈。我們的競爭力取決於我們的發展能力


ASML年度報告2021年116以具有競爭力的價格及時推出的新型和增強型光刻設備、相關應用和服務,以及我們保護和捍衞知識產權的能力。我們主要在DUV系統方面與佳能和尼康競爭。佳能和尼康都擁有雄厚的財力和廣泛的專利組合。每家公司都繼續提供與我們的DUV系統直接競爭的產品,這可能會影響我們的銷售或業務。此外,不利的市場條件、行業產能過剩或日元對歐元的貶值,可能會進一步加劇基於價格的競爭,導致價格下降,銷售額和利潤率下降。我們還可能面臨來自擁有大量財政資源的新競爭對手的競爭,以及來自地緣政治背景下自給自足野心驅使的競爭對手的競爭。此外,我們還面臨來自替代技術解決方案或半導體制造工藝的競爭,特別是如果我們未能以及時和具有成本競爭力的方式開發新的EUV技術、產品和產品增強功能。我們還與支持或增強複雜圖案解決方案的應用程序提供商競爭,如應用材料公司和KLA-Tencent公司。這些應用程序有效地與我們的應用程序產品競爭,這是我們業務的重要組成部分。我們在應用業務上面臨的競爭可能比我們的系統更高,因為這個市場上有更多的競爭對手和潛在的競爭對手。半導體行業可能是週期性的,我們可能會受到任何低迷風險類別的不利影響:行業週期風險作為全球半導體行業的供應商,我們受到行業商業週期的影響,其時間、持續時間和波動性很難預測。半導體行業在歷史上一直是週期性的。該行業的新進入者,包括中國半導體制造商,可能會增加未來週期性的風險。某些關鍵的終端市場客户--Memory和Logic--表現出不同程度的週期性和不同的商業週期。我們光刻系統、服務和其他整體光刻產品的銷售在很大程度上取決於半導體制造商的資本支出水平。這些反過來又受到行業週期、對技術主權的推動以及一系列競爭和市場因素的影響,包括半導體行業的條件和前景。我們客户的資本支出的時機和規模也影響了該行業生產芯片的可用產能,這可能導致芯片供需失衡。客户資本支出的減少或延遲,或我們對客户資本支出的錯誤假設,都可能對我們的業務產生不利影響。此外,目前對我們的業務產生積極影響的行業趨勢,如我們客户增加的資本支出,可能不會繼續下去。在行業低迷時期,我們能否保持盈利能力將在很大程度上取決於我們是否能夠降低成本和盈虧平衡水平,這是我們必須在一年內達到的銷售水平,才能獲得正的淨利潤。如果由於行業不景氣導致銷售額大幅下降,而我們無法在同一時期調整成本,我們的淨收入可能會大幅下降,或者我們可能會蒙受損失。此外,隨着每個系統的價值增加,我們在員工、設施和庫存方面已經並繼續增長,我們可能更難降低成本以應對行業低迷。我們的大部分收入來自銷售數量相對較少的產品風險類別:商業模式、產品組合我們的大部分收入來自銷售數量相對較少的光刻系統(2021年為309套,2020年為258套)。因此,少數系統在特定報告期內的發貨時間(包括任何延遲)以及對系統銷售的確認可能會對我們在此期間的業務、財務狀況和運營結果產生重大不利影響。由於與DUV系統相比,EUV系統的平均銷售價格更高,這種風險正在增加。此外,我們還從維護和升級現有客户羣中獲得了可觀的收入。然而,我們可能無法像我們計劃的那樣增加收入,例如,客户可能會自己執行更多此類服務,或者為該服務尋找其他第三方供應商。未能充分保護知識產權、商業祕密或其他機密信息可能會損害我們的業務風險類別:知識產權我們依靠專利和版權等知識產權來保護我們的專有技術和應用程序。然而,我們面臨的風險是,這些措施可能被證明是不充分的,我們可能遭受物質傷害,因為除其他外:·知識產權法可能不足以支持我們的專有權,或者可能在未來以不利於我們的方式發生變化;·我們與客户、員工和技術開發合作伙伴以及其他人達成的保護我們知識產權的保密和許可協議可能不夠充分,或者可能被違反或終止;·專利權可能不會像我們預期的那樣被授予或解釋;


ASML年度報告2021年117·專利權將到期,這可能導致關鍵技術變得廣泛可用,可能損害我們的競爭地位;·我們為防止挪用或侵犯我們的專有權而採取的步驟可能不會成功;·知識產權在這些國家很難執行,因為在這些國家,管理知識產權的法律的應用和執行可能沒有達到與我們開展業務的其他司法管轄區相同的水平;以及·第三方可能能夠開發或獲得類似競爭技術的專利。此外,執行我們的知識產權可能需要法律程序,其有效性和範圍可能會受到其他人的質疑。任何此類訴訟都可能導致鉅額成本和管理資源的轉移,如果決定對我們不利,可能會導致重大成本或對我們的業務產生重大影響。我們受到第三方或我們自己員工的企圖挪用攻擊,包括竊取我們的商業機密、專有客户數據、知識產權或其他機密信息。儘管我們努力保護我們的知識產權,但未經授權的第三方仍有可能獲取、複製、使用或披露我們的專有技術、我們的產品、設計、工藝和其他知識產權。2021年,我們注意到有報道稱,與Xtal Inc.關聯的東方景源電子(“東方景源”)正積極在中國營銷可能侵犯ASML知識產權的產品。更多內容請閲讀:我們在2021年的表現-治理-負責任的企業-知識產權保護。對他人提出的知識產權索賠進行辯護可能會損害我們的業務風險類別:在我們的業務過程中,我們受到第三方的索賠,指控我們的產品或工藝侵犯了他們的知識產權。如果成功,此類索賠可能會限制或禁止我們開發技術、製造和銷售我們的產品。此外,我們的客户或供應商可能會受到第三方的侵權指控,指控該等客户在製造半導體產品和/或與使用我們的產品相關的過程中使用我們的產品侵犯了向該第三方頒發的一項或多項專利。如果此類索賠成功,我們可能被要求賠償我們的客户或供應商因此類侵權行為而遭受的部分或全部損失或損害評估。我們還可能為解決索賠或潛在地加強或擴大我們的知識產權或限制我們對第三方知識產權索賠而產生鉅額許可或和解成本。專利訴訟是複雜的,可能會持續很長一段時間,這可能會產生鉅額費用,並轉移關鍵管理和技術人員的注意力。專利訴訟的潛在不利結果可能包括支付鉅額金錢損害賠償金、禁止我們製造、出口或銷售產品的禁令救濟,和/或涉及由我們支付鉅額費用的和解。我們面臨着國際業務風險類別中的經濟和政治發展:全球政治貿易問題、多邊和雙邊條約和貿易政策的變化和不確定性,以及國際貿易爭端、貿易制裁、出口管制、關税和類似法規,影響我們在國際上提供系統和服務的能力。特別是,我們在某些國家/地區(如中國)交付系統的能力一直並將繼續受到我們能否獲得所需許可證和批准的影響。美國政府已經制定了包括進口關税、國家安全法規和限制與某些中國實體開展業務的貿易措施,限制了我們在沒有許可證的情況下向此類實體提供某些產品和服務的能力。受貿易限制影響的中國實體名單以及出口監管要求以及此類監管的實施和執行情況可能會發生變化。我們的業務涉及向多個國家和地區的客户銷售系統和服務,包括中國,我們的業務近年來在這些國家和地區取得了增長,幷包括可能受到更多出口法規、政策或做法影響的敏感技術。多邊和雙邊條約、國家法規以及貿易、國家安全和投資政策和做法方面的這些和進一步的發展已經並可能進一步影響我們的業務以及我們供應商和客户的業務。這些發展已經並將繼續影響我們獲得必要許可證的能力,包括使用美國技術以及生產和開發此類技術的員工的許可證。這些發展,包括對技術主權的追求,也可能導致全球貿易、競爭和技術供應鏈的長期變化,這可能對我們的業務和增長前景產生不利影響。我們的某些製造設施以及客户都位於臺灣。臺灣客户佔我們2021年總淨銷售額的39.4%,佔我們2020年總淨銷售額的33.8%。臺灣有獨一無二的


《2021年ASML年度報告》118《國際政治狀況》。人民Republic of China主張對臺灣擁有主權,不承認臺灣政府的合法性。臺灣與人民關係的變化,Republic of China,臺灣政府的政策,以及其他影響臺灣政治、經濟或社會環境的因素,都可能對我們的業務、財務狀況和經營業績產生實質性的不利影響。此外,我們的某些設施以及客户都位於韓國。韓國客户佔我們2021年總淨銷售額的33.4%,佔我們2020年總淨銷售額的29.7%。與朝鮮民主主義人民共和國(北朝鮮)的緊張關係自第二次世界大戰後朝鮮半島分裂以來一直存在。這些國家之間關係的惡化或朝鮮半島戰爭的爆發可能對我們的業務、財務狀況或業務結果產生重大不利影響。我們可能無法進行理想的收購或成功整合我們收購的任何業務:風險類別:我們可能不時收購的業務或技術,以補充、增強或擴展我們現有的業務或產品,或可能為我們提供增長機會的業務或產品。任何此類收購都可能導致我們無法實現財務或戰略目標,無法按照我們的計劃執行或擾亂我們正在進行的業務,並對我們的運營結果產生不利影響。此外,我們完成這類交易的能力可能會受到許多因素的阻礙,包括在獲得政府批准方面的潛在困難。我們進行的任何收購都可能帶來與新業務或技術與我們的業務和組織集成相關的風險。我們不能確定我們是否能夠從一項特定的收購投資中獲得我們預期的好處。這樣的交易也可能會給我們的管理和運營資源帶來壓力,因為管理新業務的挑戰可能會轉移我們對日常業務的管理。此外,我們可能無法留住被收購企業的關鍵人員,或者可能難以整合員工、業務系統和技術。被收購企業的控制、流程和程序也可能無法充分確保遵守法律法規,我們可能無法確定合規問題或責任。在收購方面,反壟斷和國家安全監管機構過去曾對我們施加條件,未來也可能對我們施加條件,包括要求剝離資產或其他可能使我們難以整合所收購業務的條件。此外,我們可能難以獲得或無法獲得反壟斷和國家安全許可,這可能會阻礙未來想要的收購。作為收購的結果,我們已記錄,並可能繼續記錄大量商譽和其他無形資產。現行會計準則要求至少每年評估是否有指標表明商譽或其他無形資產的價值已經減值,並可能更頻繁地進行評估。我們可能無法實現我們的環境、社會、治理(ESG)目標,也無法及時適應和響應新出現的ESG期望和法規風險類別:氣候變化,所有行業的產品管理公司都面臨着與其ESG政策相關的越來越嚴格的審查。投資者和其他利益相關者越來越關注ESG實踐,近年來,他們越來越重視投資的影響和社會成本。特別是,在半導體行業內,重點是對社會的貢獻,並將產品在整個生命週期階段對環境和社會的影響降至最低。未能實現我們的ESG目標、滿足利益相關者新出現的ESG期望和/或未能及時響應強化的法規可能會對我們的品牌和聲譽造成負面影響。氣候變化導致極端天氣事件日益嚴重和頻繁,海平面上升和乾旱可能會影響我們業務和/或供應鏈的連續性。對氣候變化的擔憂及其潛在的環境影響可能會導致新的法律法規,可能會影響我們、我們的供應商和我們的客户。此類法律或法規可能會導致我們因合規而產生額外的直接成本,以及由我們的客户和供應商產生的增加的間接成本。此外,降低我們與產品相關的環境性能(如能源效率)的能力可能會受到我們技術和產品複雜性的影響。我們還依賴我們的供應商及其減少生態足跡的能力。全球向低碳經濟的過渡導致實施了更多的監管,這可能會導致技術限制、產品設計修改、能源價格和能源或碳税的增加、對污染的限制、所需的補救設備或其他要求。已經出臺了各種監管發展,重點是限制或管理二氧化碳和其他温室氣體的排放。這可能導致需要重新設計產品和/或以更高的成本購買具有較低碳足跡的新設備或材料。


ASML年報2021年119財務和報告我們面臨國庫風險,包括流動性風險、利率風險、信用風險和外匯風險風險類別:流動性、利率、交易對手信用、外匯我們是一家全球性公司,面臨各種財務風險,包括流動性風險、利率風險、信用風險、外匯風險、通脹風險。流動性風險:我們面臨流動性風險。我們業務或全球資本市場的負面發展可能會影響我們履行財務義務的能力,或在資本或貸款市場籌集或再融資債務的能力。此外,由於法律限制或所需手續,我們可能無法立即將現金從一個國家匯回其他地方使用。利率風險:我們面臨利率風險。我們的歐洲債券以固定利率計息。我們的現金和投資以及我們的循環信貸安排按浮動利率計息。如果不能有效地對衝這一風險,可能會影響我們的財務狀況和經營業績。此外,由於評級下調(或降級預期)、資本和貸款市場的發展或我們業務的發展,我們的借貸成本可能會上升。交易對手信用風險:我們面臨交易對手信用風險,特別是與我們持有現金和投資的金融交易對手以及我們的客户有關的風險。由於我們的客户數量有限,我們的應收賬款的信用風險集中。截至2021年12月31日,我們的三個最大客户(基於總淨銷售額)佔應收賬款和融資應收賬款的38.552億歐元,佔83.7%,而截至2020年12月31日,該公司的應收賬款和融資應收賬款為27.57億歐元,佔80.1%。因此,我們的一個主要客户的業務失敗或資不抵債可能會導致重大的信用損失。貨幣風險:我們面臨着貨幣風險。我們的財務報表是以歐元表示的。因此,我們的運營結果可能會受到歐元與其他貨幣之間匯率波動的影響。貨幣匯率的變化可能會導致我們的財務報表出現虧損。我們特別容易受到美元與歐元匯率波動的影響,而日元、韓元、臺幣和人民幣相對於歐元的匯率波動程度較小。我們的銷售成本主要以歐元計價,部分也以美元和新臺幣計價。我們的一小部分經營業績是由歐元、美元、日元、韓元、臺幣或人民幣以外的貨幣變動推動的。一般而言,我們的客户以美元經營業務,因此美元兑歐元的疲軟可能會影響我們的客户以報價購買我們產品的能力或意願。通脹風險:由於供應短缺,我們面臨着商品、運輸和工資成本的通脹,這可能會影響我們的盈利能力。目前,供應鏈約束導致了高於正常水平的通脹。合作伙伴我們的成功高度依賴於有限數量的單一來源關鍵組件關鍵供應商的表現風險類別:供應鏈中斷、供應商戰略和性能我們依賴外部供應商提供我們系統中使用的組件和子組件,包括其設計。這些部件和子組件從單個供應商或有限數量的供應商處獲得。隨着我們業務的增長,我們對單一供應商或數量有限的供應商的依賴也在增加,因為我們的許多部件高度專業化,特別是EUV系統,這意味着從多個供應商採購並不划算。因此,我們的採購戰略(在許多情況下)規定了“單一採購,雙重能力”。我們對有限的供應商集團的依賴涉及幾個風險,包括可能無法及時或根本無法獲得足夠的所需部件或部件供應,因轉向替代供應商而產生的額外成本,以及對定價和質量的控制減少。這些組件和組件的供應延遲可能是由於各種原因造成的,例如我們的供應商經歷的中斷,包括停工、火災、能源短缺、大流行爆發、洪水、網絡攻擊、破壞或其他災難、自然或其他災難,都可能導致我們的產品延遲交付,從而影響我們的業務。例如,由於芯片和材料短缺,我們的某些供應商經歷了運營中斷。長期無法獲得部件或組件的充分交付,或任何其他需要我們尋找替代供應來源的情況,可能會嚴重阻礙我們及時交付產品的能力,這可能會損害我們與客户的關係,並對我們的業務造成實質性影響。我們能夠生產的光刻系統的數量可能會受到我們的主要供應商之一Carl Zeiss SMT GmbH的生產能力的限制,該公司是我們的透鏡、反射鏡、照明器、收集器和其他關鍵光學部件(我們稱為光學部件)的唯一供應商。我們與卡爾蔡司有獨家協議(見我們年報中的關聯方段落)。


ASML年度報告2021年120 SMT GmbH,如果他們無法維持和提高生產水平,我們可能無法完成訂單,這可能會對我們的業務產生實質性影響,並損害我們與客户的關係。如果卡爾·蔡司SMT有限公司終止與我們的供應關係,或者卡爾·蔡司SMT有限公司無法長期維持光學產品的生產,我們實際上將無法開展業務。我們不時會遇到供應緊張,這可能會影響我們的生產,特別是在我們在2021年經歷並將繼續經歷的需求增加時期。2021年,我們的供應鏈出現了一些延誤和短缺,導致一些系統的組裝起步較晚。此外,在2021年,由於需求旺盛,我們一直在縮短工廠的週期時間,以發運更多系統。縮短週期的一種方法是通過快速發貨過程,跳過我們工廠的一些測試。然後在客户現場進行最終測試和正式驗收。這導致對這些發貨量的收入確認推遲到客户正式接受,但確實為我們的客户提供了更早獲得晶片產能的機會。我們和我們的供應商正在投資增加產能以滿足這一需求,但增加產能需要時間,我們可能在幾年內無法滿足客户的全部需求。此外,我們還面臨着需求可能不會繼續增長的風險,這可能會導致產能過剩和增加產能的投資損失。此外,我們的一些主要供應商,包括卡爾·蔡司SMT有限公司,其製造設施數量有限,製造設施的中斷可能會對我們的生產能力造成重大不利影響。隨着我們的產品變得更加複雜,獲得組件的交付期也增加了,而我們未能充分預測系統需求或組件發貨的任何延遲都可能導致組件供應不足,這可能會導致系統交付的延遲,並可能限制我們對不斷變化的市場條件做出快速反應的能力。相反,未能預測需求可能會導致庫存過剩和陳舊。我們還依賴供應商開發新的型號和產品,並滿足我們的發展路線圖。如果我們的供應商在產品開發方面不符合我們的要求或時間表,我們的業務可能會受到影響。很高比例的淨銷售額來自少數客户風險類別:客户依賴性歷史上,我們向有限數量的客户銷售了大量的光刻系統。由於半導體制造業的持續整合,客户集中度可能會增加。此外,儘管我們整體光刻解決方案中的應用程序部分在我們的收入中所佔的比例越來越大,但這些客户中有很大一部分是與我們系統的客户相同的客户。因此,雖然我們最大客户的身份可能每年都會有所不同,但在任何一年,銷售可能都會集中在相對較少的客户身上。我們每年面向最大客户的公認淨銷售額總額為68.811億歐元,佔2021年總淨銷售額的37.0%,而2020年為43.948億歐元,佔總淨銷售額的31.4%。2021年,總淨銷售額的66.3%來自兩個客户。任何重要客户的流失或重要客户訂單的大幅減少或延遲,都可能對我們的業務、財務狀況和運營結果產生重大不利影響。員工我們的業務和未來的成功取決於我們管理組織增長的能力,以及吸引和保留足夠數量受過充分教育和技能的員工的能力:人力資源、知識管理、組織效率我們的業務和未來的成功在很大程度上取決於我們的員工,包括大量高素質的專業人員,以及我們吸引和留住員工的能力。對這類人員的競爭非常激烈,在過去一年裏更加激烈。儘管我們有能力顯著擴大員工基礎,但吸引足夠數量的合格員工來滿足我們日益增長的需求仍將是一個挑戰。這種無法吸引和留住合格人才的風險隨着我們業務的增長而增加。我們的研發項目需要大量合格的員工。如果我們無法吸引足夠數量的合格員工,這可能會影響我們及時進行研發的能力。此外,由於疾病等意外原因而失去關鍵員工也是一種風險。此外,由於我們技術的獨特性和複雜性,能夠在我們的系統上工作的合格工程師很少,而且通常無法獲得(例如,來自其他行業或公司的)。因此,我們必須教育和培訓我們的員工使用我們的系統。留住這些關鍵員工是我們作為一家公司的關鍵成功因素。此外,我們產品的日益複雜導致新員工和現有員工和供應商的學習曲線更長,導致無法縮短週期時間,並可能導致大量額外成本的發生。我們的供應商也面臨着類似的風險


ASML年度報告2021年121在吸引合格員工方面,包括在支持我們的研發計劃和技術開發的計劃中吸引員工。如果我們的供應商無法吸引到合格的員工,這可能會影響我們的研發計劃或向我們交付零部件。近年來,我們的組織有了顯著的發展。由於這種短時間內的增長,我們可能無法有效地管理、監控和控制我們的員工、設施、運營和其他資源。我們的發展給我們的組織和人員帶來了持續的壓力,這可能會導致我們員工的福祉問題。運營我們在管理我們產品的工業化和將其帶入大批量生產風險類別方面可能面臨挑戰:產品工業化將我們的產品以基於價值的價格和成本效益的方式帶入大批量生產,取決於我們管理產品工業化的能力和我們管理成本的能力。客户對我們產品的接受程度取決於我們產品在該領域的表現。隨着我們的產品變得越來越複雜,我們面臨着越來越大的風險,即我們開發的產品可能不符合開發里程碑或規範,並且我們的產品可能不符合規範,包括質量標準。如果我們的產品沒有按照規格和性能標準運行,或者如果出現質量或性能問題,這可能會導致額外的成本、對我們產品的需求減少,以及我們的客户無法滿足計劃的晶圓產能。將我們新開發的產品過渡到全面生產需要擴大我們的基礎設施,包括增強我們的製造能力、增加零部件供應和培訓合格人員,還可能需要我們的供應商擴大他們的基礎設施能力。如果我們或我們的供應商無法根據需要擴展基礎設施,我們可能無法及時或根本無法引入新技術、產品或產品增強功能或實現新開發產品的大批量生產。此外,當我們成功地將新產品產業化時,可能需要數年時間才能達到有利可圖的利潤,就像EUV的情況一樣。新技術可能不具有與現有技術相同的利潤率,我們可能無法有效地調整基於價值的定價和/或成本。此外,新技術、產品或產品改進的引入也會影響ASML的流動性,因為新產品的生產週期可能更長,從而導致營運資金需求增加。隨着我們的產品變得更加複雜和昂貴,這種對流動性的影響也會增加。提供所需的客户支持功能以滿足越來越多的裝運和維修越來越多在外地運行的EUV系統所涉及的能力、能力和成本可能會影響裝運的時間以及有效地執行維護、維修和升級,這是系統繼續達到所需生產力的關鍵。我們依賴於有限數量製造設施的持續運營風險類別:自身運營的連續性我們的所有制造活動,包括組件組裝、最終組裝和系統測試,都在淨化室設施中進行,包括荷蘭維爾德霍温、德國柏林、美國康涅狄格州威爾頓、美國加利福尼亞州聖地亞哥和聖何塞、韓國平澤、北京、中國以及臺灣林口和臺南。這些設施可能會因各種原因而中斷,包括停工、火災、能源短缺、大流行病爆發、洪水、網絡攻擊、破壞或其他自然或其他災難。如果發生重大中斷,我們無法確保有替代產能可用。隨着我們組織的發展,我們不能完全確保我們的風險敞口。此外,並不是所有的災難都可以投保。由於我們無法為潛在的損失提供適當的保險,我們受到未投保損失的財務影響,這可能會對我們的財務狀況和經營業績產生不利影響。我們的業務性質使我們面臨健康、安全和環境風險風險類別:在我們的產品和系統的生產和運營中使用危險物質,這使得我們必須遵守與環境保護以及員工和產品健康與安全相關的各種政府法規,包括運輸、使用、儲存、排放、搬運、排放、產生和處置有毒或其他危險物質。此外,操作我們的系統(使用激光和其他潛在危險系統)可能會很危險,並可能導致受傷。如果不遵守當前或未來的法規,可能會對我們處以鉅額罰款、暫停生產、更改我們的製造、組裝和測試流程、損害我們的聲譽和/或限制我們的運營或銷售或其他不利後果。此外,我們的產品也變得越來越複雜。日益增長的複雜性要求我們投資於持續的風險評估和開發適當的


ASML 2021年年度報告122為我們的員工(與我們的系統的生產和安裝以及我們的服務的現場選擇和性能相關的)和我們的客户的員工(與我們的系統的操作相關的)的健康和安全的預防和保護措施。我們的健康和安全實踐可能並不能有效地降低所有健康和安全風險。未能遵守適用的法規或我們為客户和員工的健康和安全實施的實踐失敗可能會使我們承擔重大責任。網絡安全和其他安全事件,或我們的流程或信息技術系統的其他中斷,可能對我們的業務運營風險類別產生重大不利影響:信息安全、信息技術、流程有效性和效率、保護資產我們依賴我們的信息技術系統的準確性、可用性和安全性。儘管我們已經實施了措施,包括與網絡安全有關的措施,但我們的系統可能會受到計算機病毒和系統攻擊、自然或人為事件、災難或未經授權的物理或電子訪問的破壞或破壞。我們的信息技術系統以及我們的供應商、客户和其他服務提供商的信息技術系統受到越來越多的網絡攻擊,而我們無法控制他們的系統。這些攻擊包括惡意軟件(惡意軟件)、試圖未經授權訪問數據,以及對我們的信息技術系統的其他電子安全漏洞。它們還包括我們的供應商、客户和其他服務提供商的信息技術系統,這些系統已經並可能導致我們的客户、供應商或其他業務合作伙伴(包括研發合作伙伴)的關鍵系統中斷、未經授權發佈、挪用、損壞或丟失數據或機密信息(包括與我們的客户、員工和供應商相關的機密信息)。此外,我們依賴我們的員工和我們供應商的員工適當地處理機密和敏感數據,並以安全可靠的方式部署我們的IT資源,不會使我們的網絡系統面臨安全漏洞或數據丟失。然而,我們或我們供應商的員工或供應商的員工的疏忽披露或行為或內部不當行為可能會導致數據丟失或我們的IT系統被破壞或中斷,這始終存在風險。此外,任何系統故障、事故或安全漏洞都可能導致業務中斷、我們的知識產權、商業機密(包括我們的專有技術)、未經授權訪問或披露客户、人員、供應商或其他機密信息、我們的數據或系統的損壞、聲譽損害或訴訟。此外,計算機病毒或其他惡意軟件可能會損害我們的系統和軟件,並可能在不經意間傳播到我們客户的系統和運營中,這可能會導致客户流失、訴訟、政府調查和訴訟,從而使我們承擔民事或刑事責任,並引起管理層的大量關注和資源,以補救由此造成的損害。我們還可能被要求在未來針對這些中斷或安全漏洞造成的損害進行保護或修復,包括例如重建內部系統、實施額外的威脅防護措施、對我們的產品和服務進行修改、抵禦訴訟、迴應監管查詢或行動、支付損害賠償或對第三方採取其他補救措施。此外,補救工作可能不會成功,並可能導致中斷、延遲或停止服務,不利的宣傳,損害我們的聲譽,客户對我們違約的指控,可能的訴訟,以及現有或潛在客户的流失,這可能會阻礙我們的銷售或其他關鍵功能。網絡安全威脅在不斷演變。我們仍然可能容易受到其他已知或未知威脅的影響,因為在某些情況下,我們、我們的客户和我們的供應商可能不知道事件或其規模和影響。我們還面臨這樣的風險,即我們通過向客户提供的系統使客户面臨網絡安全攻擊,包括以惡意軟件或上述其他類型的攻擊的形式,這可能會損害我們的客户。此外,新冠肺炎疫情增加了我們組織內部的遠程工作水平,這增加了網絡安全事件的風險。ASML對半導體行業的知名度和重要性持續增長。這可能會導致可能對ASML的安全或其員工的安全產生不利影響的行動。此外,流程和系統可能無法充分支持增長。我們不時對我們的信息技術系統和軟件進行更新,這可能會擾亂或關閉我們的信息技術系統。我們可能無法在不中斷運營的情況下按計劃成功推出和集成這些新系統。例如,我們目前正在實施新的企業資源規劃系統和基礎設施(一個方案)。由於這個系統的實施或其他原因,我們已經並可能繼續在我們的運營中遇到中斷。2021年,我們經歷了新物流中心投產後的運營延誤,導致一些產品的生產延遲。


ASML年度報告2021年123法律和合規我們面臨着越來越複雜的監管和合規義務風險類別:違反法律和法規近年來,我們的業務在銷售、運營、員工和業務基礎設施方面都有了顯著增長。因此,遵紀守法的複雜性增加了。此外,隨着我們在以前沒有開展業務的國家擴大業務,我們越來越多地遵守這些司法管轄區的額外規則和法規,包括但不限於反腐敗、反賄賂和反壟斷標準,這些標準可能很複雜。我們還接受這些司法管轄區當局關於遵守規則和法規(包括税法)的調查、審計和審查。此外,我們必須遵守的現有規則和法規,包括與貿易、國家安全、税收、外匯管制、報告、產品合規、反腐敗法、反壟斷、數據保護等相關但不限於的法規,正變得越來越複雜,貿易和國家安全環境導致限制越來越多。我們還面臨着貿易和安全法規可能會限制我們在某些司法管轄區銷售我們的產品和服務的風險。我們在發貨許可方面遇到了延誤,可能會遇到向某些客户發貨的限制。適用於我們業務的法規的這種變化可能會增加合規成本和不合規的風險。不遵守規定可能會導致罰款和處罰,以及聲譽損害。此外,其他法規可能會影響或限制我們在某些司法管轄區銷售我們的產品和服務的能力。税收的變化可能會影響我們未來的盈利能力風險類別:違反法律和法規我們在荷蘭和我們活躍的其他國家需要繳納所得税。我們的有效税率過去一直在波動,未來可能也會波動。我們商業環境的變化會影響我們的實際税率。這同樣適用於我們開展業務的國家税收立法的變化、經濟合作與發展組織等全球組織推動的發展,以及對逐個税務當局採取的方法的變化。所有這些舉措已經並可能進一步增加反興奮劑機構的遵約義務。此外,這可能會導致我們的實際税率在未來幾年增加。我們所在司法管轄區税收法規的變化可能會對我們的税收狀況產生不利影響,從而影響我們的淨收入。我們的全球有效税率受到我們所在國家/地區税收法律法規中包含的研發激勵措施的嚴重影響。在這方面,荷蘭的所謂創新盒子税法和我們在美國獲得的外國衍生無形收入扣除/研發抵免就是一個例子。如果司法管轄區在這方面改變他們的税收政策,這可能會對我們的全球有效税率產生不利影響。此外,司法管轄區按不同的税率徵收企業所得税。我們在不同司法管轄區的銷售組合每年可能會有所不同,導致適用於我們利潤的公司所得税税率組合不同,這可能會影響我們在全球的有效税率,並對我們的淨收入產生不利影響。新冠肺炎或其他流行病可能會影響我們的運營的其他風險因素新冠肺炎大流行以及為應對這一全球大流行而實施的措施繼續影響我們的業務以及我們的供應商和客户。這場大流行已經對全球經濟產生了重大影響,這可能會影響我們的終端市場。新冠肺炎疫情增加了我們組織內部的遠程工作水平,這會影響生產率,可能會推遲我們的路線圖,增加網絡安全事件的風險,並可能影響我們的控制環境。此外,我們依賴我們的供應商,因此新冠肺炎疫情導致他們的運營中斷影響了我們以及我們生產、交付和服務工具的能力。市場對半導體的需求以及我們的產品和服務也會受到新冠肺炎大流行的影響,並採取措施應對它。此外,我們業務的一個重要部分涉及在全球客户場所安裝和維修工具,而旅行限制和疫苗接種要求會影響這一活動。新冠肺炎疫情將如何影響全球國內生產總值發展、終端市場、我們的製造能力和供應鏈存在不確定性,而且疫情持續的時間越長,風險就越大。這場大流行對非典型肺炎的持續影響將取決於未來的事態發展,包括新冠肺炎大流行的持續嚴重性,以及荷蘭和其他外國政府為控制疫情或應對其影響而採取的非我們所能控制的行動。對股東權利的限制可能會稀釋投票權我們的公司章程規定,我們受制於適用於大公司的荷蘭法律,稱為結構制度。這些規定的效果是將某些公司決策和交易的控制權集中在我們的


ASML年度報告2021年124監事會。因此,普通股持有者在面對監事會成員的行動時,可能會比我們在美國或其他司法管轄區註冊成立公司時更難保護自己的利益。我們的法定股本還包括一類累積優先股,我們已授予荷蘭基金會Stichting Pferente Aandelen ASML以每股0.09歐元的面值收購此類累積優先股的選擇權。行使優先股選擇權將有效稀釋我們已發行普通股的投票權的一半,這可能會阻礙或顯著阻礙第三方收購我們的多數有表決權股份。更多內容請閲讀:我們2021年的業績-治理-公司治理-管理委員會和監事會,以及合併財務報表-合併財務報表附註-附註22股東權益。在任何一年,我們可能不會宣佈現金股息和實施股票回購計劃,也不會以任何特定的金額進行股票回購。我們的目標是每半年支付一次(按年率計算)不斷增長的股息,我們還會不時地進行股票回購計劃。任何一年的股息建議和股票回購金額將受到可分配利潤、留存收益和現金的可用性的影響,並可能受到管理委員會對我們未來潛在流動性需求的看法的影響,包括對產能和營運資本需求的投資、我們研發計劃的資金以及可能不時出現的收購機會,以及適用所得税和公司法的未來變化。我們還可能不時暫停回購計劃,這將減少我們能夠返還給股東的現金金額。因此,管理委員會可能決定建議不支付股息或支付較低的股息,並可能暫停、調整或停止股票回購計劃,否則我們可能無法完成回購計劃。


ASML年度報告2021年125負責任的商業我們是半導體行業的全球領導者。作為為芯片行業製造重要系統的創新者,我們有責任以身作則。我們的目標很明確,“通過將技術推向新的極限來釋放人和社會的潛力”,我們希望我們的價值觀反映在我們為追求目標所做的一切事情中。除了我們戰略中的物質重點領域外,我們還需要確保以負責任的方式開展業務。無論我們在哪裏運營,我們都相信以誠實的態度開展業務,以最高的誠信標準行事,對於我們為利益相關者羣體創造價值,以及我們公司的長期成功至關重要。我們制定了公司政策和程序,詳細説明瞭我們的原則和合規,指導我們做出正確的決定,並踐行我們的價值觀。在接下來的部分中,可以找到更多關於我們的商業道德和行為準則、合規、我們尊重人權的責任、信息保護和税收等主題的信息。商業道德和行為準則我們致力於在我們開展業務的所有國家/地區,遵守適用的法律和法規開展業務。我們提倡和維護道德行為,培養一種鼓勵和欣賞發聲的文化。我們尋求不斷改進和專業化我們的道德和合規組織,以達到最高標準。2021年,我們繼續擴大我們的道德聯繫網絡,根據歐盟舉報人指令的新要求更新了我們的直言不諱和不報復政策,並推出了我們更新的禮物和娛樂政策以及我們的內部競爭法合規政策。我們繼續我們的培訓計劃,並專注於提高整個組織的意識。我們的下一次全球道德調查將於2022年進行。我們的價值觀--挑戰、協作和關懷--指導着我們與同事、客户、供應商、股東和我們所服務的社區的日常交往。這些價值觀反映在我們的行為準則(以下簡稱:準則)中。它為我們經營業務的方式設定了明確的期望和指導原則,並有助於培養誠信、道德和尊重的文化。連同一套實用的指導方針,它將誠信置於我們所做工作的中心。ASML在很大程度上依賴於員工的技能、承諾和行為,才能繼續取得成功,並對社會做出積極貢獻。這就是為什麼我們希望所有員工完全踐行公司的價值觀,並在任何時候都以正直和尊重的態度行事。我們要求我們的所有員工和業務夥伴遵守我們的守則。十年來,我們一直是負責任商業聯盟(RBA)的成員,該聯盟是世界上最大的行業聯盟,致力於全球電子行業的企業社會責任。作為澳大利亞央行的成員,我們通過了《澳大利亞央行行為準則》,這是一套共同的社會、環境和道德行業標準。我們的準則符合《澳大利亞央行行為準則》。為了加強我們對供應商網絡的承諾,我們希望我們的主要供應商(約佔我們總支出的80%)及其供應商遵守澳大利亞央行行為準則,並制定自己的戰略、政策和流程以遵循該準則。這一要求包括在我們與產品相關的長期供應商的合同中。更多內容請閲讀:我們在2021年的表現-社會-我們的供應鏈。我們的道德治理由幾個層次組成,包括:1.我們的道德委員會由我們的首席執行官擔任主席,向審計委員會和管理委員會報告。道德操守委員會負責制定政策和監督反興奮劑機構遵守法律和道德要求的情況。道德操守委員會定期開會,就相關問題提供指導。2.我們的道德委員會調查有關ASML在全球範圍內可能違反行為準則的重大通知。3.我們的道德辦公室負責監督和實施我們的道德計劃。所有可能違反ASML《行為守則》的報告都由一名道德操守幹事進行篩選,所有重要報告都會與道德操守委員會進行討論。4.我們的道德組織包括員工,他們除了在ASML擔任正式職務外,還在我們開展業務的所有國家/地區擔任道德聯絡員。他們是值得信賴的代表,是員工在道德方面有疑問和顧慮的第一個當地聯絡點。


ASML年度報告2021年126我們的行為準則原則我們尊重人我們尊重人ASML致力於維護一個安全健康的工作環境,尊重符合國際法律法規和行業標準的人權,如《澳大利亞央行行為準則》。文化、教育和人才的多樣性使我們成為一家更強大、更具創造力和創新能力的公司。通過共同努力,並使用這些價值觀來指導我們,我們創造了一個基於相互尊重的環境--一個比我們任何人單獨取得的都要好的環境。我們秉承誠信經營誠信和合規的強大文化支撐着ASML的業務成功。我們對“誠信”的定義是以誠實、真誠、細心和可靠的方式行事。合規不僅意味着遵守法律法規,還意味着遵守我們的高尚道德標準。我們誠信的聲譽是一筆寶貴的財富。對我們來説,在任何時候都要表現出個人和商業誠信,這是至關重要的。我們致力於安全和社會責任,技術普及到社會的各個角落。通過幫助芯片變得更實惠和更強大,ASML可以發揮重要作用-不僅是聲譽和結果,而且還與環境有關。這就是為什麼ASML致力於負責任地開展業務,在履行法律和道德義務的同時實現可持續增長。我們的目標是以關懷和負責任的方式實現關鍵原則中概述的業務目標。我們保護我們的資產ASML最有價值的資產是它的人員和知識,這兩者都是高度重視和受到保護的。我們的‘資產’包括知識產權(IP),它是指技術訣竅、產品數據、商業數據和個人數據等無形資產,以及開展ASML業務的產品、工具、資金和計算機等有形資產。我們公司希望任何受託管理ASML資產的人都要確保這些資產的安全,使其不會丟失、損壞、濫用或被盜。我們鼓勵您進行溝通並暢所欲言,以履行我們對維護本守則中所述的高標準誠信的承諾,溝通是關鍵。我們努力創造一個鼓勵員工之間以及員工和第三方之間進行公開對話的工作環境,在這種環境中,員工感到舒適和受到尊重,他們可以相互信任,做正確的事情。如果您觀察到或懷疑有違規行為,我們鼓勵您直言不諱。我們的代碼可在我們的網站(www.asml.com)、我們的內聯網和我們的員工應用程序中向所有利益相關者提供。促進道德行為我們提供專門的道德和合規計劃,該計劃提供必要的支持、建議、培訓和溝通,使員工和其他人能夠理解和遵守我們的準則。它通過各種溝通渠道提高認識,培養高度誠信的文化,從而做到這一點。它還有助於創造一種開放和誠實的文化,促進整個組織遵守法律和ASML政策。2021年,我們繼續擴展我們的道德培訓課程,引入了兩個新的模塊-‘我們尊重人’和‘禮物和娛樂’-以及推出更新的政策。我們的目標是在來年為所有員工準備好所有六個模塊。除了向所有員工提供的通用模塊外,課程還將包括經理特定模塊-將於2022年前完成。該課程旨在支持管理層的決策,宣傳我們的準則和其他與合規相關的主題,並提高人們對道德行為和我們的直言不諱和不報復政策的重要性的認識。它還提供了關於處理諸如工作中的個人關係、利益衝突、處理文化差異以及圍繞輔助活動或ASML以外的其他職位的倫理方面的主題的信息和指導。在我們的培訓計劃中,我們特別關注所有新員工;在ASML開始的前三個月內,他們會收到完成課程第一模塊的邀請。2021年,我們改變了方式,從專門舉辦道德意識週轉變為全年參與一系列各種互動話題討論。我們舉辦了兩次“我們的價值觀在行動”會議,在會上,我們公司多個職能部門的領導人解釋了ASML的價值觀--挑戰、協作和關懷--如何與他們正在做的工作聯繫起來,公司周圍的員工分享了他們是如何實際體驗到這些價值觀的。鼓勵人們直言不諱從上一次全球道德調查中獲得的一個關鍵見解是,員工有時可能會因為擔心這樣做的後果而不願報告有害、歧視或不道德的行為。因此,我們在2021年更新了於2021年10月底啟動的直言不諱和不報復政策,並實施了修正案,以滿足歐盟舉報人指令的要求。在這一進程中,我們的重點是將不報復的概念納入政策的核心。我們堅信,員工應該放心地向公司表達他們的擔憂,而不會因為害怕報復而感到擔憂。這些政策和程序讓員工放心,他們可以報告違規行為,而不必擔心後果。ASML對報復行為零容忍。該政策包括我們的道德調查程序,其中概述了道德投訴的調查階段,從接收到補救行動和最終結案。


ASML年度報告2021年127反賄賂和反腐敗ASML不容忍賄賂或腐敗或對他人或我們自己的任何形式的不正當影響。我們致力於個人和商業誠信的最高標準。我們的反賄賂和反腐敗政策於2020年更新,詳細説明瞭我們對堅定的道德和誠信的承諾,以及我們為防止ASML的賄賂和腐敗而採取的措施。它還要求遵守適用的反賄賂和反腐敗法律以及《反興奮劑機構行為守則》。欲瞭解更多信息或下載政策,請訪問www.www。Asml.com。2021年4月,我們推出了修訂後的禮物和娛樂政策,詳細説明瞭所有ASML員工在贈送和接受禮物或娛樂(包括商務用餐)方面的預期行為,並支持我們以專業、道德和透明的方式開展業務的承諾。這項政策也是我們合規、反賄賂和反腐敗計劃的關鍵要素。我們要求我們的員工始終遵守這一政策,使用常識,並在必要時尋求本政策中概述的指導或支持。該政策的一個重要新內容是,要求事先批准某些類別的第三方禮物或娛樂活動。這使我們能夠在這些類別中記錄贈送和接受的禮物和娛樂,這支持我們遵守政策以及法律和法規。贈送和接受禮物和娛樂永遠不應影響或似乎影響我們商業決策和交易的誠信,或有關各方的忠誠度。ASML不允許員工接受或提供便利費,也不允許代表公司進行政治捐款。2021年,我們修訂和更新了關於反賄賂和反腐敗主題的培訓課程,主要是作為更新的道德培訓課程的一部分,並通過向特定利益攸關方羣體提供額外的課堂培訓。我們正在進一步加強我們的全球第三方盡職調查計劃。在2021年的報告年度,在賄賂和腐敗領域沒有對ASML的監管罰款或行動。有關直言不諱、不報復、我們的道德調查程序、匿名和隱私的更多信息,請參見www.asml.com上公開提供的我們的直言不諱和不報復政策。我們鼓勵每個人,包括外部業務合作伙伴,如供應商、承包商和其他工人,表達他們對可能違反我們的準則、我們的公司政策、法律和我們的價值觀的任何擔憂。我們提倡一種開放的信任和誠實溝通的文化,在這種文化中,違反守則的行為是不被容忍的。我們在發言服務中有幾個不同的渠道來報告此類擔憂,包括在線報告工具(由獨立的外部服務公司託管)、我們開展業務的每個國家/地區的電話號碼、專用電子郵件地址以及通過我們的道德聯絡人。對於喜歡匿名的員工或外部利益相關者,可以使用直言不諱服務匿名報告違規行為。道德操守辦公室的作用是評估每一份直言不諱的報告,並採取適當行動處理報告,以便適當的機構能夠採取任何適當的補救行動。我們審查和評估所有發言信息,並在可能的情況下通過向報告方提供反饋來跟蹤所有這些信息。如有必要,我們將與報告方和/或對方接觸,以瞭解發言信息的性質,並進行更詳細的分析和/或調查。當需要時,我們會實施補救措施,以防止復發。2021年登記道德相關報告396件(2020年登記229件)。我們將這一增長視為我們的員工和外部業務合作伙伴感到放心和受到保護的跡象,可以報告他們的擔憂。我們將這一結果歸因於實施的改進措施,但我們也注意到與新冠肺炎有關的大量報告,如旅行限制、疫苗接種、檢疫和具體國家的措施。絕大多數報告涉及的是問題,而不是對潛在不當行為的關切。另一個增加的領域涉及利益衝突問題。在這些直言不諱的報告中,有10起投訴。這些都遵循正式的調查程序。截至本年度報告發布時,已完成5起投訴的調查程序。在這一總數中,有四起投訴被認為不成立--沒有違反《守則》--對一起投訴採取了紀律措施--終止僱用。其餘五宗投訴仍在正式調查過程中。2021年,我們沒有因違反道德法規而受到任何罰款。法律合規我們的法律合規職能監督對各種與監管合規相關的領域的遵守情況,並就監管框架向管理層提供建議,包括法律和法規的變化,努力確保我們在開展業務時遵守所有相關的國家和國際法律和法規,以及專業標準、公認的商業慣例和我們自己的內部標準。這些監管合規領域的例子包括我們的證券和內幕交易、競爭法(反壟斷)以及反賄賂和反腐敗。當需要時,我們的法律部門負責任何監管調查。


ASML年度報告2021年128競爭法遵守政策ASML認為遵守競爭法是其業務的重要組成部分。競爭法(又稱“反壟斷法”)保護有效競爭,以確保市場的最佳運作。競爭法影響到ASML日常業務的許多領域。它影響我們與客户、供應商、聯合開發人員和其他業務夥伴的交易和互動。在與我們的業務夥伴打交道時,我們致力於公平競爭和公平的原則,包括供應商、合作開發商、客户和其他行業同行。因此,ASML不容忍根據適用的競爭法被視為非法或違反我們的行為準則的任何形式的行為,我們也不會與採取反競爭行為或建議進行非法行為的業務合作伙伴進行業務或合作。為此,反壟斷法制定了一般性和具體的控制措施,以防止、發現和披露潛在的競爭法問題,包括:競爭法合規風險評估:反壟斷法定期對相關競爭法重點領域進行風險評估。這項評估確定並考慮了從競爭法的角度可能存在的風險、已經實施了哪些控制措施、剩餘的風險是什麼以及將採取哪些措施來減輕任何剩餘的風險。政策審查:我們的競爭法合規政策表明了我們對確保遵守適用的競爭法和我們的行為準則的持續承諾。員工或業務合作伙伴違反本政策的任何行為將被視為嚴重違反ASML的行為準則。因此,這可能導致適當的紀律措施,包括解僱。ASML定期審查本政策。我們於2020年發佈了《政策》的公開版本,並於2021年發佈了《內部政策》的更新版。培訓和認識:ASML的競爭法培訓方案包括不同方法的組合;基於計算機的培訓課程和麪對面培訓課程。通過定期通信,例如通過在反壟斷法協會的內聯網上發表演講和文章,或通過電子郵件通信,也促進了對與競爭法有關的專題和問題的認識。與業務夥伴的聯繫:ASML期望其業務夥伴(如客户、供應商、顧問、承包商、中間商等)以展示與我們自己一致的高標準的道德行為。ASML不會與訴諸反競爭行為或建議進行非法行為的商業夥伴進行業務或合作。ASML堅決譴責其商業夥伴的任何反競爭行為。舉報和解決問題、違規或投訴:ASML將支持拒絕參與反競爭行為或舉報潛在違反我們政策的員工和業務合作伙伴,這在我們的直言不諱和不報復政策中有明確規定。ASML不容忍對嚴格遵守競爭法規則的員工或那些直言不諱的員工進行任何形式的報復或其他形式的不良後果,即使ASML因此失去業務。欲瞭解更多信息或下載ASML的公共競爭法合規政策,請訪問www.asml.com。隱私保護我們致力於尊重和保護員工、客户、供應商和與我們有業務往來的每個人的隱私權。個人資料以專業、合法及合乎道德的方式管理,符合我們的行為守則,並符合適用的法律及法規。我們已採取技術和組織措施,防止意外或非法銷燬、丟失、更改、未經授權披露或訪問個人數據。我們的隱私政策從ASML作為一個全球組織的角度設定了最低要求。該政策對所有ASML員工具有約束力,並適用於處理我們員工、求職者和業務合作伙伴(如客户、供應商、訪客和其他個人)的個人數據。專門的隱私和個人數據保護計劃確保我們遵守高標準的個人數據保護標準。我們的隱私計劃包括以下內容:·治理:在高級管理層,公司風險委員會負責監督隱私主題,而隱私辦公室管理隱私框架,並提供幫助和指導。每位員工都有責任閲讀和理解隱私政策的內容和含義。·制度和程序:隱私控制框架包括130項隱私活動,包括隱私影響評估和數據保護影響評估。隱私控制框架包括在我們的企業資源管理過程中。·紀律處分:我們調查在我們的隱私門户網站上登記的所有事件、關注事項和登記的潛在違規報告,這些事件、擔憂和註冊報告在我們的個人數據泄露程序中概述。我們採取了適當的控制措施和紀律處分,以防止再次發生。


ASML年度報告2021年129·審計:隱私包括在我們的內部審計計劃中。我們針對業務合作伙伴和招聘人員的隱私通知均源自我們的隱私政策。他們解釋了為什麼收集個人數據以及ASML如何使用這些數據。2021年,我們更新了面向員工、求職者、商業夥伴和訪客的全球隱私通知。新的隱私通知反映了ASML對個人數據的最新處理,並符合適用的隱私法律和法規的要求,例如GDPR(歐盟)和CCPA(美國)。2021年,我們沒有收到任何關於侵犯人權的申訴。在我們2019年的行動中,我們進行了一次風險評估,以確定我們自身行動中與人權有關的內在風險。我們的分析結果表明,ASML自身運作中的人權脆弱性的內在風險是工作時間和加班、健康和安全以及工作場所騷擾。ASML範圍內確定的易受傷害的權利持有者羣體是承包商、少數民族和移徙工人。這項評估計劃於2022年進行更新。此外,我們還定期進行EHS內部審核。更多內容請閲讀:確保員工安全。工作時間和加班在我們運營的地點,每週標準工作時間平均為40小時。我們公司的標準是基於國際勞工組織的國際勞工標準(每週工作40小時公約)和澳大利亞央行的標準。每週工作時間不得超過當地法律規定的最長工作時間,每週工作時間不得超過60小時,包括加班時間,除非在緊急或特殊情況下。我們經常注意保護我們的員工在高峯期不加班。由於加班仍然是管理層的一個重要關注點,我們一直在監測加班的使用情況,並採取適當的措施來管理情況。健康和安全我們有義務為我們所有的員工和在我們辦公場所工作的其他人提供安全和健康的工作條件。在我們的產品和流程中,我們考慮如何使ASML成為一個安全的工作場所。我們在創建意識和在ASML中建立積極的安全文化方面投入了大量的努力。更多內容請閲讀:確保員工安全。工作場所騷擾我們是一家全球性公司,在16個國家和地區的60多個地點開展業務。我們擁有文化多元化的勞動力隊伍,僱傭了122個國家的員工。這導致圍繞人權中的工作場所騷擾問題的固有風險更高。更多內容請閲讀:商業道德和行為準則。通過我們的道德計劃,我們提高了人們對道德行為重要性的認識,以及我們的直言不諱和不報復政策。它還提供了關於處理諸如工作中的個人關係、利益衝突、處理文化差異以及圍繞輔助活動或ASML以外的其他職位的倫理方面的主題的信息和指導。我們的供應鏈我們通過基於風險的方法評估供應鏈中與人權有關的風險。在我們的盡職調查過程中,我們使用澳大利亞央行風險評估平臺來識別勞工方面的內在風險(包括人權),尊重人權。我們在公平、誠信和誠信的基礎上開展業務,我們希望所有的合作伙伴都能做到這一點。為此,我們還認為,我們有責任尊重人權,促進產生積極影響。我們致力於尊重普遍人權,尊重《行為守則》所表達的道德價值。我們支持《經合組織多國企業指導方針》、《聯合國商業和人權指導原則》以及國際勞工組織《多國企業和社會政策三方原則宣言》中確定的原則。我們制定了一項人權政策,該政策可在www.asml.com上公開查閲。我們的人權政策是對我們的ASML行為準則和我們遵守的澳大利亞央行行為準則的補充。它表達了我們在我們的運營和供應鏈中對人權和負責任的勞動實踐的承諾。人權政策適用於ASML及其在世界任何地方的子公司。在我們的直接業務中確定和管理人權問題的總體責任屬於我們的執行副總裁總裁人力資源部的職責範圍。我們供應鏈中的人權責任屬於我們採購和供應鏈執行副總裁總裁的職責範圍。定義突出的人權問題突出的人權問題是那些有可能通過公司的活動或商業關係受到最嚴重負面影響的人權問題。我們評估了整個價值鏈對人們人權可能產生的影響。我們一方面集中精力尋求利益相關者的意見,另一方面對我們最初的突出問題進行盡職調查。我們在《行為準則》、《人權政策》和《澳大利亞央行供應商行為準則》中強調了我們解決和積極參與突出人權問題的承諾。我們通過各種方式確定和管理人權問題,例如利益攸關方參與、我們業務中的內部人權評估以及供應商的盡職調查和可持續性風險管理。更多內容請閲讀:我們的供應鏈。


ASML 2021年年度報告130我們全供應基地的道德、健康、安全和環境標準。如果發現與勞動力相關的中等或高度風險,我們將與供應商接觸並進行更詳細的分析。對於佔我們產品相關支出約80%的戰略供應商,我們預計他們將完成每年的澳大利亞央行SAQ。這份SAQ涵蓋了400多個與勞工(包括人權)、道德、環境和安全因素、控制因素和管理體系有關的風險因素,包括他們的表現。它幫助我們確定供應商在可持續性方面的風險概況。當我們確定合規差距時,我們與供應商接洽以確定糾正行動計劃(S)。我們定義的突出問題涉及工作條件(強迫勞動和抵押勞動)、健康和安全以及工會權利。然而,在高科技行業運營,我們的大多數供應商都在法治很強的國家運營,都是守法的。我們認為這種固有風險很低。更多內容請閲讀:我們的供應鏈。與其他公司一樣,ASML也越來越容易受到網絡攻擊。這些攻擊可能會對我們的業務、聲譽、收入、運營或財務健康產生不利影響,特別是當它們違反數據保護規則並危及我們客户或合作伙伴的機密信息時。隨着ASML在半導體行業的獨特地位和日益增長的風險敞口,我們看到了越來越多的安全風險趨勢,從勒索軟件和網絡釣魚攻擊到內部威脅和滲透企圖,以獲取我們領先的知識產權(IP)或中斷業務連續性。2021年,ASML遇到了大約20,000起安全事件,其中大部分來自網絡釣魚攻擊,影響較小。根據Verizon進行的外部研究報告《2021年數據泄露調查報告(DBIR)》,數據泄露中的釣魚攻擊發生率從2020年的25%上升到2021年的36%。隨着過去幾年網絡攻擊的增加,我們也加強了我們的資源和能力,從大約10年前的10 FTE增加到2021年致力於安全事務的約250 FTE。安全--就像安全和質量--是信任ASML品牌的先決條件。我們的客户和合作夥伴必須能夠依賴我們產品和服務的安全性、安全性和質量。ASML的存在是以人和知識為基礎的。我們的特定知識和知識產權使我們比我們的競爭對手具有領先優勢,因此保護它們至關重要。隨着ASML與其生態系統合作伙伴共同創新,這些合作伙伴需要訪問我們的系統。由於這條鏈是最薄弱的一環,我們需要確保我們的合作伙伴以安全的方式訪問我們的系統。ASML的安全信任圈旨在認證和協助我們的生態系統合作伙伴提高他們的信息安全成熟度。我們的安全治理由三個層次組成:1.我們的企業風險委員會(CRC)是一箇中央風險監督機構,負責審查、管理和控制ASML風險領域的風險,包括信息安全。它還批准了風險偏好、風險管理政策和風險緩解戰略。兒童權利委員會定期向審計委員會和管理委員會報告,由首席財務官(CFO)擔任主席,並由ASML所有部門的高級管理人員組成。2.我們的安全委員會是兒童權利委員會的一個小組委員會,負責驗證與信息安全有關的風險偏好以及有效的政策和路線圖。它密切監控整個公司安全風險的緩解。3.中央安全部門,由首席信息安全乾事(信息安全乾事)領導,作為信息安全風險的所有者,各部門的安全風險管理小組提供協助。CISO處於第二道防線,有權通過構建控制的安全路線圖來推動政策,並監督作為第一道防線的部門控制的有效執行。信息安全復原力框架我們對安全的願景是,它需要嵌入我們的人員、流程和技術的DNA中。為了確保這一點,我們創建了專門的安全職能,以預防和管理安全風險。我們的使命是通過對支持我們業務目標的人員、流程和技術應用基於風險的高效措施,使ASML能夠控制對公司、其客户和供應商的信息和資產的保護。為了實現這一願景和使命,我們追求並部署我們的安全戰略,以實現最高水平的成熟。我們通過在其14個域中應用ISO27001信息安全標準並推動安全成熟度(從策略設置、資產管理和訪問控制到事件管理等)來開發我們的信息安全框架。對於這些領域中的每個領域,我們都有量身定做的控制措施,並定期進行評估,以確保合規性和有效性。此外,我們還配備了事件報告工具,以確保可以報告、關聯和調查所有IT和信息安全問題。


ASML年度報告2021年131人和知識是ASML業務成功的關鍵。未經授權披露ASML的信息,或其創新生態系統中客户或供應商的信息,可能會使競爭對手受益,對ASML申請專利的能力產生負面影響,或對與客户和供應商的合作產生負面影響。同時,ASML的運營依賴於可靠的信息處理,未經授權更改這些資產的信息內容可能會損害執行業務的能力。因此,確保信息的機密性和完整性至關重要。為了確保我們的員工瞭解安全政策並知道如何採取行動,我們提供強制性的安全意識培訓,並主辦一年一度的安全意識周,在此期間,我們提供更多信息並分享經驗。在我們的供應鏈網絡中,我們使用單一模型對我們的合作伙伴進行風險評估,他們也使用該模型來篩選其供應商。我們還與同行、合作伙伴和一流的安全解決方案提供商保持密切聯繫,並通過滲透測試(道德黑客)定期測試我們的安全解決方案,以確定可利用的問題,以便實施有效的安全控制。在ASML創建安全信任圈,我們與公司內外的合作伙伴密切合作,在基於信任的創新生態系統中開發我們的技術。在互聯生態系統中進行創新和協作需要超越企業邊界的安全信息共享,因為網絡攻擊的脆弱性已擴展到整個生態系統的邊緣。因此,2021年,ASML啟動了安全信任圈倡議,以保護我們在荷蘭佈雷因波特埃因霍温地區的創新生態系統。“信任圈”是一個供應商網絡,他們共同採用相同的信息安全標準,並根據這些標準提高自己的業績。該網絡還推動了ASML、供應商和生態系統合作伙伴之間的知識和最佳做法的交流。我們分享有關網絡事件的最佳實踐和信息,以幫助我們的創新合作伙伴發展和加強安全成熟度。目標是保護知識產權,保護行業和地區免受勒索軟件等網絡犯罪的影響,共享相關威脅情報,就安全主題進行合作,並共同變得更加安全。2021年,我們與排名前10位的主要供應商和50多家鄰近公司舉辦了大師班,以提高該地區的信息安全意識和知識,並分享實用的提示、技巧和策略,例如打擊勒索軟件。作為ASML在尖端技術領域的領先地位和研發人員,公司知識產權部門參與了產品的開發過程,旨在確保ASML的產品不會面臨侵犯第三方知識產權的風險。該部門對新產品進行評估,以確定它們是否可能侵犯第三方的任何相關權利。我們在複雜的研究和開發方面的重大投資證明瞭強大的知識產權組合是合理的。我們建立了知識產權管理機制,維護我們的知識產權,尊重其他各方的知識產權。這其中包括專門的知識保護計劃、對工程絕密的限制訪問、信息安全計劃、強制性信息保密以及培訓和意識計劃。閲讀更多內容請參閲:負責任的企業-信息安全。早在2021年初,我們就注意到有報道稱,與Xtal Inc.有關聯的一家公司正在中國積極營銷可能侵犯知識產權保護的產品,我們公司的基礎是人和知識。我們的專業知識使我們比競爭對手具有領先優勢和領先優勢。為了繼續經營,保護我們自己的知識以及我們的客户和業務合作伙伴委託給ASML的信息是關鍵。專利是保護ASML的研究和開發投資不被ASML的競爭對手使用的一種方式,也是保護ASML的客户、供應商和聯合開發人員利用ASML的一種方式。我們與由許多不同的公司和機構組成的生態系統合作伙伴一起創新和開發我們的技術,每個公司和機構都需要一種專門的方式來處理知識產權(IP)問題。ASML的總體知識產權戰略有三個目標:·通過保護ASML的發明,建立和維護堅實的知識產權組合。·防止反洗錢侵犯第三方知識產權的情況。·根據ASML的知識保護計劃,防止向外部世界泄露包括專有技術和商業祕密在內的機密信息。我們的企業知識產權部門的任務是加強我們的全球專利組合,以及保護我們的專利。該部門的使命是最大化ASML的知識產權價值,執行和支持ASML的總體目標,並維護ASML的運營自由。為了保護我們的技術


ASML 2021年年度報告132關於ASML的知識產權。作為迴應,我們聯繫了一些客户,敦促他們不要協助或教唆東方晶源電子(DFJY)進行任何此類潛在的侵權行為。此外,我們向中國當局表達了我們的關切。反洗錢組織正在密切監測局勢,並準備在適當情況下采取法律行動。IP P或TFO lio研發投資IP投資組合趨勢IP投資組合研發投資2017 2018 2019 2020 2021 10,000 12,500 15,000 17,500歐元億歐元10億歐元20億歐元30億歐元產品安全我們想要創新,但始終將安全放在首位。時刻提供安全的工作環境是我們的責任。我們專注於產品生命週期的每個階段的安全:研發、生產、運輸、安裝、維護、升級和退役。我們還確保覆蓋所有利益相關者羣體,包括員工、客户、供應商、承包商和訪客。我們如何管理產品安全安全產品從好的設計開始。第一步是通過產品設計消除風險,由於人的因素在產品的安全運行中發揮着重要作用,我們儘量防止它們成為風險因素。這方面的一個例子是,我們聯鎖激光活動,以限制員工接觸危險激光的方式。這有助於防止工作場所活動演變為潛在的事故。我們注重硬件設計的安全性,其次是安全的程序-預防是關鍵。我們力求確保我們開發的所有產品和工具符合世界上最嚴格的產品安全法規,以及適用於我們開展業務的國家/地區的法律。在沒有解決潛在危險的安全預防措施的情況下,我們會制定自己的安全預防措施。我們有明確的系統和流程來支持我們的產品安全方法。當我們開始設計我們的系統時,我們的安全工程師會進行初始安全風險評估(SRA)。它們考慮了我們已經確定的九個關鍵風險領域,並在風險專家認為設計可能構成人類安全風險時向他們發出警報。我們的產品設計師經過培訓,能夠在設計過程的早期階段發現任何安全問題。在整個產品開發過程中對SRA進行評估。在產品生命週期的每個後續階段,我們都會評估產品安全性。我們通過我們的事件報告系統跟蹤任何報告的與產品相關的事件,包括供應鏈事件。每年,我們都會為管理層提供產品安全審查,報告過去一年的任何產品安全事件。與往年一樣,2021年,我們可以自豪地説,沒有發生由我們的設備造成的可記錄的事件。在我們的內部測試實驗室內,隨着我們系統的技術複雜性增加,為防止現場故障而進行測試的需求也在增加。我們的測試實驗室提供硬件測試能力,以儘早根除設計中的潛在風險和缺陷。在設計過程的早期測試可以防止客户晶圓廠出現部件故障,同時也有助於D&E從風險到結果實現更穩健的產品設計。多年來,我們開發了模塊化測試平臺,以縮短平均測試間隔時間(MTBT)並標準化測試實驗室設備。例如,在模塊化真空測試平臺中,大約80%的真空相關部件風險可以被表徵和測試,並且可以使用標準化的硬件和軟件接口靈活地添加額外的測試環境,如氣體、高壓和温度。我們的Veldhoven工廠擁有24個實驗室,總實驗室面積約為1,500平方米。這些實驗室為多達100個測試設置提供了高科技測試環境,範圍從標準螺栓摩擦測試到定製的執行器測試。


ASML年度報告2021年133隨着我們的發展,我們的產品複雜性和我們運營的地理位置的數量也在增加,因此評估哪些安全法規和法規適用於我們的產品和工具變得更加複雜。與此同時,確定我們需要遵循的規則和程序也更加複雜,以證明這一合規。我們的一些技術是如此創新和新,以至於人們並不總是立即清楚地知道哪種監管制度適用。2021年,我們成立了企業監管和合規辦公室,負責確保我們的產品符合產品安全政策。監管委員會負責ASML產品安全合規的決策和消除不合規的戰略,監測合規狀態並推動風險緩解。在每月的會議上,監管委員會討論不遵守規定的情況,並根據提交的緩解計劃做出決定。這使我們能夠進一步提高我們評估哪些法律和法規-包括限制危險物質(RoHS)和化學品註冊、評估、授權和限制(REACH)-適用於我們運營的每個國家/地區,如何解釋它們,以及我們的產品和工具是否符合。我們一如既往地為我們的機器提供安全文件,包括部件的安全測試結果和機器的功能-考慮到法規要求。2021年,我們調查了在我們的晶片平臺上使用特氟龍塗層是否符合關於持久性有機污染物(POP)的國際法規。特氟龍是一種名為聚四氟乙烯(PTFE)的合成化學品的名稱,被認為是一種持久性有機污染物。我們的分析結果顯示,特氟龍的濃度為0.027 ppb(最壞情況),遠遠低於25 ppb的限值。確保安全合規,我們的D&E安全能力負責人隨時準備提供有關特定安全隱患的工作方式和設計規則的全面知識。我們開發的產品和工具符合歐盟安全指令和半導體行業指南(SEMI S2),以確保在任何時候都考慮產品安全。這些指南包含在安全系統性能規範(安全SPS)中。我們還考慮了客户特定的安全指南。我們出貨的每一種產品都符合半S2標準。2021年,我們出貨的每一種產品都提供了一份確認SEMI S2合規性的報告。我們還擁有所有ASML產品和工具的CE符合性聲明。提高供應鏈中的產品安全,確保產品安全不僅止於我們的設施。我們的大部分創新和開發都是在我們的供應商現場進行的。安全是ASML的關鍵優先事項,我們希望確保我們運輸的所有產品都符合最嚴格的法律,包括我們的客户和價值鏈合作伙伴所做的設計。這就是為什麼我們開始了‘供應鏈中的產品安全項目’。我們的目標是確保我們的同事和合作夥伴有能力提供安全合規的產品,這樣我們就可以避免安全事故或事件、與安全相關的不合規問題或延遲發貨。為了實現這些目標,我們與供應商密切合作,制定了端到端流程,以確保我們通過供應商購買的產品和工具符合我們的安全要求。我們在供應商簡介中增加了產品安全要求和能力,這是我們與供應商溝通和衡量績效的方法。我們對供應商進行篩選,以評估他們如何滿足特定的安全要求,首先是供應商自我評估調查,然後是根據需要進行現場審計,然後是差距關閉審查。我們希望我們的供應商也為我們提供與安全相關的數據和他們為我們製造的部件或工具的支持文檔。這一過程使供應商能力評估成為減輕可能的安全風險的一種主動方法。危險貨物我們在2020年成功完成了“危險貨物”項目的第一階段,其中包括任命了一名專門負責處理“危險貨物”的專家,並採用了與危險貨物運輸有關的最佳做法。在常設組織有了基線後,我們在2021年集中精力進一步改進這一進程。該項目的第二階段將集中在三個方面-在TeamCenter(我們的知識共享數據庫)中引入相關的(危險特性)屬性,在前端(材料數據庫和危險物質管理)連接到具有危險特性知識的過程,以及在供應商部件設計過程中包括危險特性/危險貨物信息。通過在早期階段識別哪些材料是危險的,我們可以及時、高效地採取安全處理和運輸的措施。RoHS和REACH我們致力於遵守歐盟關於處理危險材料和化學品的指導方針、所謂的RoHS指令和REACH法規,儘管我們生產的產品目前被排除在RoHS指令之外。只要有可能,我們


ASML年度報告2021年134旨在減少和消除任何有害物質的使用,並用符合RoHS的替代品替換不符合要求的部件。REACH法規不斷變化,這是一個潛在的挑戰。每年,危險物質清單上都會增加新的內容。由於ASML機器由數千個不是在ASML地點製造的部件組成,我們需要與供應商保持非常密切的溝通,以確定我們產品中的高度關注物質(SVHC)含量。然而,我們龐大的供應商組合和每六個月更新一次的SVHC名單意味着這一過程具有挑戰性。目前,有75種物質和物質組需要評估,其中一些物質包含10種以上的單獨物質。2021年,我們更新了REACH政策,並在我們所有地點的D&E業務和我們的全球供應鏈中進一步嵌入了REACH合規。同時,我們還將我們的程序與新的歐盟立法和歐盟的危險材料“SCIP”數據庫保持一致。水管理半導體制造過程使用大量的水。由於氣候變化,乾旱變得更加極端和不可預測,這可能導致水在特定地點成為一種稀缺資源。雖然水是我們客户半導體制造過程中必不可少的資源,但我們自己的運營中的用水量是有限的。ASML的產品被設計成按照“閉環系統”(循環系統)來使用水。在我們的製造過程中使用水的目的是保持系統的涼爽,以防止在曝光過程中釋放的熱量。ASML的用水量只佔半導體行業大多數公司用水量的一小部分。儘管如此,我們在整個公司提倡負責任地使用水。2021年我們的用水量從2020年的860,000立方米增加到1,041,000立方米,這一增長可以歸因於Veldhoven製造設施的擴大,產品產量的增加,以及我們報告範圍從之前的20個地點擴大到2021年的57個地點。我們用的水來自市政供水。2021年,我們在荷蘭實施了雨水與其他類型廢水的分離,我們正在探索重新利用這些水的方法。儘管供水中斷可能會對我們的一些客户構成重大風險,但ASML與水相關的風險是有限的。我們有七個生產基地,其中四個主要工廠是荷蘭的維爾德霍温、美國的聖地亞哥、美國的威爾頓和臺灣的林口。更多閲讀:我們的TCFD建議:與氣候相關的披露,可在www.asml.com上獲得。由於突破性的創新和技術領先,ASML的卓越運營在過去幾年中實現了強勁增長。我們已經引進了幾代尖端芯片製造系統,並在半導體設備製造行業建立了強大的市場地位。隨着我們作為一家公司的成熟和在這一地位上的發展,我們正在努力不斷改善客户體驗,幫助客户降低擁有成本。客户同時考慮系統的成本和運行成本。因此,提高質量需要端到端的方法。我們需要審視整個鏈條,以確定真正的問題並找到解決方案。我們尋求將我們的創新能力與卓越的運營結合起來。我們的新企業計劃我們業務運營的強勁增長和公司的發展促使我們審查我們的工作實踐,並確定我們可以在哪些方面提高運營流程的效率,以改善客户體驗和釋放業務價值。我們投入了大量的精力來重塑我們的流程和IT格局。我們的新企業(ONE)計劃的核心是改善我們的業務流程和IT企業管理系統。它建立在近年來為改進我們的IT系統而採取的步驟的基礎上,這些IT系統是在20世紀90年代建立的,沒有針對定製的客户解決方案進行優化。這是一項為期多年的計劃,推廣工作將分階段進行。One計劃解決了由具有大量定製應用程序的零散應用程序環境所產生的複雜流程。其目的是在引入標準化的同時確保靈活性。其中一個將通過簡化流程,使ASML能夠以更統一和更有效的方式運作,以確保建立一個面向未來和更可持續的系統。該計劃採用跨部門、全公司範圍和端到端的方法,使我們能夠為我們的利益相關者提供更高的業務價值,我們將其定義為:·股東:提高我們產品和服務的競爭力·客户:提高我們產品和服務的性能和可靠的產品生命週期管理·供應商:通過分離的計劃對部件、工具和時間提出穩定而明確的要求·員工:通過簡化、標準化和跨行業的運營增強能力


ASML年度報告2021年135質量文化ASML致力於通過提供高質量、可持續的產品和服務來持續滿足或超過客户的期望,從而提供高水平的客户滿意度。質量和卓越的運營是我們技術領先的基本要素。這種領導力得到了全公司質量文化的加強,這種文化創造了一個卓越的環境。與我們的供應商和合作夥伴一起,我們確保我們的產品和服務具有高水平的性能。作為一個學習型組織,我們不斷改進我們的產品和流程。我們的質量文化的目標是縮短成熟產量的時間,並通過幾種方式確保我們產品和服務的端到端質量:·首次正確:對產品和執行應用風險管理流程,將對客户的影響降至最低。·零缺陷:嵌入控制以保證遵守我們的政策、流程和程序。·零重複:從失敗中吸取教訓,防止再次發生,推動我們的產品、服務和流程的結構性改進。我們成立了一個質量計劃評審委員會,由我們的首席運營官(COO)擔任主席,負責指導和監控質量。我們還致力於國際公認的質量管理體系和標準。我們的質量管理體系符合ISO 9001:2015標準,並通過第三方認證。這表明我們強大的質量治理,有效的質量管理體系,以及整個公司的質量合規性。2021年質量日:學習的力量全球參與人數達到創紀錄的7,500多人,質量日的主題將聚光燈放在學習的習慣上,通過展示“學習就是關愛”--關愛我們的產品、我們的客户、我們的同事和我們的商業夥伴。以在線、現場和混合形式舉辦了150多個講習班、培訓、最佳做法分享會、招貼會和模擬。例如,在D&E中,根本原因分析逃生室體驗向參與者展示了對比思維,這是一個可以用來解決複雜技術問題的過程。另一個例子是模擬幾個部門之間的成本決策會議,在那裏,工程師可以體驗到,例如,在那些給定的情況下,作為客户支持經理是什麼感覺。除了這些質量市場計劃外,今年我們還推出了由業務線組織的跨行業HaQathons,解決了重複使用、診斷、供應商工藝和客户之旅等領域的業務質量挑戰。來自各行業的同事應邀合作,提出新的見解和想法,以應對這些挑戰,併為業務和客户創造價值。在債務和股票市場提供額外資金。我們力求確保我們的主要流動資金來源在任何時候都足以滿足我們的流動資金需求。我們的流動資金需求受到許多因素的影響,其中一些因素基於業務的正常持續運營,另一些因素則受到全球經濟的不確定性、我們業務的龐大性質和半導體行業的特定特徵的影響。雖然我們的現金需求根據這些因素的時間和程度而波動,但我們相信,運營產生的現金,加上我們的其他流動性來源,足以滿足我們的預期需求,包括我們預期的資本支出、研發費用和償債。我們將現金和現金等價物和短期投資投資於擁有投資級信用評級的金融機構、政府和政府相關機構的短期存款,以及投資於高評級中短期債務證券的貨幣市場和其他投資基金。我們的投資主要以歐元計價,在一定程度上也以美元、新臺幣和人民幣計價。融資政策我們繼續堅持我們長期堅持的審慎融資政策,這一政策基於三個基本要素:·流動性:保持財務穩定,目標是將我們的現金和現金等價物以及短期投資保持在2.0至25億歐元的最低範圍以上·資本結構:保持資本結構,目標是穩定的投資級信用評級·現金回報:提供可持續的每股股息,每半年支付一次,同時通過股票回購或資本償還向股東返還多餘現金流動性我們的主要流動性來源包括現金和現金等價物,短期投資和可用的信貸安排。此外,我們可能會不時提高


銀行賬户662.2 1,891.8現金及現金等價物6,049.4 6,951.8存款於金融機構、政府及政府相關機構1,302.2 638.5短期投資1,302.2 638.5我們與多家銀行維持一項可用承諾信貸安排,金額為7,000,000歐元,於2021年底及2020年並無未償還金額。這項貸款的到期日為2026年7月。我們還與中國的一家銀行維持着當地的未承諾信貸安排,以確保在任何時候都滿足當地的流動性和運營要求,同時考慮到現有的監管機構對靈活公司間融資的限制。資本結構我們在管理資本結構時的目標是通過保持確保流動性和支持可靠的投資級信用評級的資本結構來保障我們滿足資本提供者的能力。根據美國公認會計原則和歐盟國際財務報告準則,資本結構包括債務和股權組成部分。資本結構的改變主要是通過調整支付給股東的股息金額、股票回購或資本償還金額,以及債務水平的任何變化來改變的。我們的資本結構每年都會根據我們最新的長期財務計劃和相關方案與監事會進行正式審查。今年的檢討結果證實,我們會維持現行的融資政策,以配合我們的資本結構。我們目前從穆迪獲得的信用評級為A2(穩定)。這一評級於2021年9月從A3上調。我們目前來自惠譽的信用評級為A-(穩定),這與2020年12月31日的評級一致。以債務與股本比率衡量的償付能力從2020年的0.89微升至2021年的1.71。我們有本金總額為45億歐元的未償還歐元債券,到期日如下:未償還歐元債券到期額500 750 1,000 750 750 750 2022 2023 2024 2025 2026 2027 2028 2030 0.0 0.2 0.4 0.6 0.8 1.0現金回報政策ASML旨在分配股息,股息將隨着時間的推移不斷增長,每半年支付一次。管理委員會每年在得到監事會事先批准後,考慮到任何中期股息分配,向年度股東大會提交一份關於上一年度宣佈的股息數額的建議。任何給定年度的股息建議將受到可分配利潤、留存收益和現金的可用性的影響,並可能受到我們對未來潛在流動性需求的看法的影響,包括產能投資、營運資本需求、我們研發計劃的資金以及可能不時出現的收購機會。除支付股息外,我們打算根據我們實際和預期的流動資金需求水平以及其他相關因素,定期通過股票回購或資本償還向股東返還現金。ASML打算宣佈2021年的總股息為每股普通股5.50歐元。認識到2021年11月支付的中期股息為每股普通股1.80歐元,這導致向股東大會提出每股普通股3.70歐元的末期股息建議。2021年的總股息比2020年每股普通股2.75歐元的總股息增加了100%。


ASML年報2021年137 A nn UA Liz ed d IV id en d(歐元)每股股息歷史(一年的股息在下一年支付,中期除外)0.46 0.53 0.61 0.70 1.05 1.20 1.40 2.10 2.40 2.75 1.80 3.70建議2011 2012 2013 2014 2016 2017 2018 2019 2020 2021 0 0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 5.5 7月21日,2021年,我們宣佈了一項新的股票回購計劃,將於2023年12月31日之前執行。作為這一計劃的一部分,ASML打算回購金額高達90億歐元的股票,我們預計其中總計45萬股將用於支付員工股票計劃。ASML打算取消回購的剩餘股份。新的計劃取代了之前的60億歐元的2020-2022年股票回購計劃,根據新的股票回購計劃,該計劃尚未全部完成。在2021年,我們回購了14,358,838股(2020年:3,908,429股),總代價為85.603億歐元(2020年:12.075億歐元),其中6,601,699股是根據新計劃以45.603億歐元的代價購買的。累計資本回報(資本回報是累計股票回購+股息)截至2011年2012年2013年2014年2015年2016年2017年2018年2019 2020年2021 0 4 8 12 16 20 24為止支付的股票回購股息


ASML年度報告2021年138年度報告中的這一部分概述了我們税收政策的要點。欲瞭解更多信息和完整的税收政策文件,請訪問www.asml.com。此外,請注意,在下面的文本中,‘Tax’和‘Tax’包括關税。我們的徵税方法15.2%有效税率(2020年為14.3%)我們認為我們繳納的税款對我們經營的社區做出了貢獻,是我們創造社會價值的責任的組成部分。我們的可持續發展戰略和整體業務戰略對我們來説很重要,我們的可持續發展戰略和整體商業戰略支持我們如何運作和我們的税收方法的公開性和透明度。歐元12.35億歐元繳納所得税8.18億歐元荷蘭歐元2.15億美元臺灣歐元4100萬歐元韓國歐元2400萬歐元中國歐元4400萬歐元世界其他地區11831 FTE 14,935項知識產權組合專利擁有:95%荷蘭0%美國5%臺灣0%韓國0%中國0%世界其他地區研發位於:72%荷蘭21%美國3%臺灣0%韓國3%中國1%世界其他地區所有光刻機都在Veldhoven組裝,而很大一部分部件是由我們在荷蘭的供應商生態系統提供的,歐洲和美國。一些模塊和計量系統是由我們在美國和臺灣的工廠生產的。一般來説,一旦我們的新光刻機準備好,就會直接從荷蘭運到我們的客户手中。目前,我們的客户主要分佈在臺灣、韓國、中國和美國四個地區。我們在這些國家和地區的業務有助於我們的銷售和客户服務工作。總體而言,我們的銷售和客户服務活動的主要角色都設在維爾德霍温。在我們開展活動的國家,對反洗錢活動的補償公平地反映了符合當地法律和國際標準的運作模式。在可能的情況下,我們已經(或正在商定)與當地税務機關商定我們活動的薪酬水平。此外,我們還制定了監控各種税收的流程和控制措施,如海關、增值税(VAT)、企業所得税(CIT)和預扣税(WHT)。我們經常與高級管理層討論我們的税務方法。在反興奮劑機構內定期提供培訓,以強調遵守法律和條例的重要性。我們的主要原則是,我們的税務狀況反映了我們的業務運營,即在我們的製造和研發活動的支持下,銷售光刻系統和相關產品和服務。自公司成立以來,ASML的運營模式直截了當,我們位於荷蘭維爾德霍温的園區是我們全球業務的核心。下面描述的運營模式對於理解ASML的税務狀況至關重要。在ASML的全球員工中,55%位於荷蘭,20%位於美國地區,24%位於亞洲,1%位於EMEA(不包括。荷蘭)。在所有高級管理職位中,70%位於荷蘭。這反映了ASML荷蘭公司正在積極領導和控制集團的活動、業績和風險。在研發活動方面,我們72.5%的研發員工位於荷蘭。其餘部分主要受僱於我們在美國的法人實體,其餘部分分散在其他地點。我們美國和其他外國研發機構的成本由荷蘭的ASML承擔,我們95%的專利由ASML荷蘭擁有。在2000-2020年期間,ASML荷蘭承擔了大約167億歐元的研發成本,平均佔同期我們年收入的15%以上。


ASML 2021年年報139我們的税收原則以下原則指導我們如何在我們經營的國家/地區申報和納税:1.我們按照税收法律法規的文字和精神行事。2.我們在一個司法管轄區報告的應納税所得額與該司法管轄區內商業活動的附加值相稱。3.ASML的利潤分配方法是基於經濟合作與發展組織發佈的國際公認標準,以及我們運營的當地司法管轄區的相關規章制度。4.我們在相互尊重、透明和信任的基礎上,與我們所在司法管轄區的税務當局進行公開和建設性的對話,披露所有相關的事實和情況。我們不會採用旨在避税的税制,也不會人為地將利潤轉移到低税率司法管轄區。5.除ASML業務目的外,我們不在避税天堂(由歐盟委員會的“黑名單”定義)運營。6.我們根據報告要求、美國公認會計準則和國際財務報告準則進行税務披露。我們的税務策略ASML的税務策略以我們的税務原則為基礎,並與我們的業務策略和可持續發展目標緊密結合。它是由管理委員會批准的,並與我們對ASML税務和海關事務的責任一致。我們的重點是:·我們在管理所有利益相關者方面的角色。從外部角度與税務機關和監管機構溝通,也要與投資者溝通。在內部,支持我們的業務管理風險,控制風險,同時保持其行政程序和工作方式的效率。我們以一種綜合的方式與ASML內的其他專家合作。·税收的未來,包括ESG(包括税收透明度)和税收技術的發展。·合規與控制:這包括為適當的税務風險管理和報告目的制定、實施和監測流程和控制。此外,通過根據適用的税收法律和法規(包括及時繳納應繳税款)。·項目:我們的業務每年都在變化,我們運營的監管環境也在變化。我們致力於處理這些變化的項目,以確保實施的解決方案是合規和高效的。同樣,我們不斷努力簡化,並審查現有業務模式的合規性。·ASML税務和海關組織。在這個快速變化的世界裏,擁有一個多樣化的團隊是很重要的,他們可以應對變化,而且不僅僅是優秀的税務和海關專家。溝通、數字和項目管理技能正變得越來越重要。我們努力按照ASML的價值觀(協作、挑戰和關懷)共同努力,相互發展。税務管理我們的全球税務部門負責日常的税務管理。它處於我們管理委員會的監督之下,管理委員會對ASML的税收方法負有最終責任。我們的綜合全球税務部門分佈在ASML運營的三個地區樞紐,並在跨境税務問題上保持一致。ASML的全球税務部門與ASML的全球業務有很好的聯繫。這有助於確保遵守適用的當地税收法律和法規。納税申報義務通過中央納税合規儀錶板進行監測。控制是通過我們的SOX和內部控制框架實施和執行的。自動化被用於各個領域,以支持業務税務流程以及税務風險管理。監督委員會(SB)的審計委員會審查我們的税收戰略,並每年與我們的税務專業人員協商,討論税收政策和税收法律法規對ASML的影響。培訓方案到位,以確保全球税務部門成員與全球税務格局的最新發展保持一致和最新情況。此外,税務部門成員定期為來自商業和其他財務部門的利益相關者提供税務認識課程。我們的目標是明確我們税務狀況的所有方面,並以透明的方式分享這些信息,與我們開展業務的國家的税務當局建立誠實、透明和信任的關係。ASML的税收方法旨在維持較低的税收風險偏好。例如,這反映在我們與我們主要司法管轄區的税務當局簽訂的雙邊預定價協議(BAPA)的數量上。税收貢獻ASML的技術正在推動我們的盈利能力。我們大約90%的收入在荷蘭納税,因為我們通過研發、設計和製造活動創造的大部分價值都在那裏。其他活動的收入,如地區設備銷售和客户支持活動,在這些活動發生的國家納税--主要是臺灣、韓國、中國和美國。


ASML年度報告2021年140已付/已收税款(以百萬歐元為單位)1,190歐元875歐元203歐元11歐元(638)歐元818歐元(632)歐元569歐元203歐元215歐元267歐元93荷蘭美國臺灣韓國中國所得税工資預扣税紅利預扣税(750)歐元(500)歐元(250)歐元0歐元250歐元500歐元750歐元1,000歐元1,250歐元為了促進創新,我們利用了我們運營的國家引入的激勵措施-荷蘭創新箱和美國的外國衍生無形收入法規是最重要的激勵措施。這些優惠措施的使用對我們的綜合有效税率產生了有利的影響。有關這些條例的財務影響的更多信息,請參閲合併財務報表附註21。我們積極參與關於這些激勵措施未來發展的討論,因為這些激勵措施極大地支持了我們能夠開展的研發活動的水平,以及為我們開展業務的國家/地區的人們創造就業機會的能力。廢除或更改這些或其他税務法規(例如,第1支柱和第2支柱發展)可能會對我們未來的綜合有效税率產生影響。我們的財務報表中提供了披露信息,包括在我們主要市場收取的税款/税款。已支付的所得税包括根據ASC 740歸類為所得税的預扣税。我們以透明和準確的方式向税務機關提供逐個國家的納税報告。下面我們包括了我們最重要的國家的關鍵數據(佔總人數的97%)。主要國家/地區所得税情況(歐元,單位:百萬)荷蘭美國臺灣韓國中國對外淨銷售額69 1,635 7,355 6,256 2,673內部淨銷售額19,388 2,213 1,651 571 266所得税前收入6,237 337 56 183 39所得税支出(實際)1920(40)17 60 14所得税支出(實際)818 93 215 41 24 1.所得税支出(實際)涉及當期和遞延所得税支出/收益的總額2.已支付的所得税涉及2021年支付的實際所得税


ASML年度報告2021年141監事會


ASML年度報告2021年142監事會主席Gerard Kleisterlee(監事會主席)致詞尊敬的利益相關者,2021年,ASML表現出色-在微芯片需求強勁飆升的推動下,這是創紀錄的一年,同樣是在不利的情況下。我們仍然必須應對新冠肺炎和一些供應問題,但我們看到了驚人的增長,使2021年成為比2020年更好的一年,營業額、現金流和盈利能力都創下紀錄。令人印象深刻的技術進步公司的成功始於ASML在客户方面的成功。隨着ASML的EUV 0.33 NA平臺在大批量製造中的廣泛採用,以及對下一代EUV 0.55 NA(High-NA)平臺的承諾不斷增加,我們看到了巨大的進步,在該平臺上取得了良好的技術進步。在第四季度開始時,監事會技術委員會訪問了德國的ZEISS,在那裏正在進行第一個新系統的組裝準備工作,我們對從事這一工作的團隊的巨大成就印象深刻。應對激增的需求我們繼續看到需求激增,不僅是對我們領先的EUV光刻系統,而且對成熟節點中的半導體行業的主力DUV也是如此。為了滿足我們整個產品組合的強勁需求,我們首先正在縮短製造週期,並與我們的供應鏈合作,提高我們整個產品組合的產出能力。2021年,我們看到我們的業務以及ASML的供應鏈出現了一些緊張,導致年底系統發貨出現一些延誤。然而,在ASML典型的‘讓我們就這麼做’的心態下,這些問題已經得到了最高優先的解決。作為半導體生態系統中值得信賴的合作伙伴,ASML在與領先客户就EUV 0.33 NA和EUV 0.55 NA(High-NA)進行對話方面取得了很大進展。特別是在EUV中,對ASML來説,對其客户完全透明是很重要的,因為他們別無選擇。ASML不只是銷售設備,而是與客户合作,實現特定的晶圓產量-這需要與客户的目標完全一致,這可能會因他們經營工廠的方式而有所不同。ASML總是需要適應這一點,從客户的角度思考,並與其產品和服務完全一致,以滿足他們的需求。維持一個運轉良好的全球半導體生態系統,ASML在一個變得越來越複雜的世界裏運作。同樣在2021年,隨着芯片成為現代數字生活的核心,ASML一直是世界超級大國之間正在進行的貿易談判的一個話題。ASML在這方面採取了中立的立場。這裏的起點一直是,也將是ASML的目標是以一種允許公司在所有適用的規則和法規範圍內繼續為所有客户服務的方式與客户合作。我們堅信,在合作、公平競爭和信任的基礎上,避免碎片化並維持一個運轉良好的全球生態系統,符合半導體和電子行業所有利益攸關方的利益。對ESG可持續性的日益關注ASML非常認真地對待當今對ESG(環境、社會和治理)可持續性的日益關注。我們為我們所做和所能控制的事情負責。在社會和治理方面-我們正在照顧我們的員工和我們所在的社區,我們得到了很好的治理。環境方面主要是關於應對氣候變化,這是一項全球挑戰,需要包括我們在內的每個人都採取緊急行動。我們必須照顧到我們自己的環境足跡、我們供應商的足跡以及我們提供的產品和服務的潛在負面影響。我們要求我們的供應商向我們展示他們的環境項目,並在重複利用等領域與他們合作開展聯合項目。在我們這邊,我們有責任最大限度地減少能源消耗,


ASML年度報告2021年143我們的光刻解決方案的温室氣體排放和材料使用,我們已經制定了計劃。作為一個快速發展的組織,強勁的增長伴隨着挑戰,ASML對人員和領導力發展的關注是其成功的關鍵。每一位ASML新員工都應該感到受歡迎,迅速成為這個動態環境的一部分,並能夠貢獻和發展自己的技能。這需要一套組織良好的過程和控制,以及強大的關懷文化。像我們在2021年所做的那樣,僱傭這麼多人是有責任培養他們的人才的。此外,我們需要為未來做好準備和適應。憑藉廣泛的先進光刻解決方案和服務,以及強勁增長的裝機羣,我們必須謹慎地平衡我們對成熟業務的成本、質量和產量的關注與我們在技術前沿不斷創新的動力。對2022年充滿信心監事會自豪地認可ASML員工所做的巨大努力--歸根結底,供應鏈和創新生態系統中的員工和他們的合作伙伴使這一切都發生在我們仍然處於的具有挑戰性的環境中。ASML在管理自己度過新冠肺炎危機的過程中做了令人驚歎的工作,同時繼續提供優秀、先進和成熟的產品和服務。我們滿懷信心地期待着,我們堅信,ASML正在走上一條清晰的道路,繼續使突破性技術能夠解決人類面臨的一些最嚴峻的挑戰。該公司還制定了正確的戰略,以可持續的方式支持全球電子生態系統,併為所有利益相關者提供價值。監事會主席傑拉德·克萊斯特利


ASML年度報告2021年144監事會報告6次監事會會議38%女性成員出席率98.0%平均任期3.9年監事會監督和建議管理委員會履行其管理任務併為ASML確定方向,側重於長期和可持續的價值創造。監事會成員是完全獨立的。監事會監督管理委員會執行其管理任務併為其制定方向,並向其提供諮詢。監事會專注於長期和可持續的價值創造,目標是確保管理委員會的戰略確保其作為半導體行業整體光刻解決方案供應商的領先地位。作為監事會,我們堅持適當的制衡制度,提供監督,評估業績,並在需要或要求時提供建議。通過良好的治理,我們幫助確保ASML的行動符合公司及其利益相關者的最佳利益。在這份監事會報告中,我們報告了我們在2021年的活動。2021年期間,全球半導體行業整體增長17.3%,而新冠肺炎疫情仍有影響。ASML繼續發展,並歡迎新的同事,同時保障健康、安全和業務連續性。不斷增長的客户需求和公司的增長在2021年帶來了額外的挑戰。我們很高興看到ASML能夠實現驚人的增長,使2021年成為比2020年更好的一年,營業額、現金流和盈利能力都達到了創紀錄的水平。我們在2021年的活動在履行2021年的任務時,監事會的議程圍繞着戰略及其執行、財務和運營業績、業務發展、風險管理以及人員和組織。根據年度戰略審查中商定的反興奮劑機構的戰略優先事項,以深入探討的方式廣泛討論了幾個專題,以便進行有重點和深入的審查。戰略和長期價值創造在2021年期間,監事會花了大量時間討論戰略議題。我們對ASML的公司戰略、長期財務計劃以及EUV、DUV和Applications的長期計劃進行了定期的年度審查。監事會全力支持ASML戰略,該戰略繼續圍繞加強客户信任、整體光刻和應用、DUV競爭力、用於製造的EUV 0.33 NA和EUV 0.55 NA(High-NA)插入的五大支柱。隨着對ASML產品的強勁需求,再加上該公司專注於執行其戰略重點,監事會對ASML的長期增長機會和繼續向其利益相關者交付價值充滿信心。作為年度戰略審查的一部分,我們舉辦了專門的研討會,重點關注半導體市場的長期發展和外部全球力量,包括地緣政治和ESG的可持續性。其他講習班涉及與戰略執行、放大端到端供應鏈以及安裝基礎和服務戰略有關的挑戰。這些講習班使監事會和管理委員會能夠就關鍵戰略問題進行積極和集中的討論,作為監事會,我們高度重視這種對戰略決策進程作出貢獻的方式。除了年度戰略審查外,全年還通過深入研究的方式處理戰略專題,使監事會能夠有重點地進行深入審查。


ASML年度報告2021年145深度潛水:與Carl Zeiss SMT的戰略合作關於與Carl Zeiss SMT的戰略合作,管理委員會和監事會討論了新的總體框架協議,涵蓋了兩家公司關係的整個範圍。在審查中,我們深入研究了該協定的三大支柱:行為和文化、治理和商業,以及知識產權方面的新安排。我們認為新的框架協議是向前邁出的一步,特別是在兩家公司之間的關係和合作方面,以及在簡化業務執行方面的機會。深潛:應用和整體光刻策略對應用和整體光刻策略進行了深入的回顧。我們研究了關鍵市場領域的技術變革帶來的增長機會、技術路線圖以及它如何支持ASML的業務。對增長的關鍵驅動因素進行了詳細研究,包括多光束檢測、光學和電子束計量以及計算光刻和掃描儀應用軟件等應用。監事會對應用程序和整體光刻戰略感到滿意,並相信ASML處於有利地位,可以通過執行其路線圖來創造價值。財務和經營業績我們審查了年度和中期財務報表,包括非財務信息、季度業績和隨附的新聞稿,以及美國公認會計準則和歐盟國際財務報告準則年終審計的結果。作為財務最新情況的一部分,監事會在審計委員會的協助下審查了反洗錢組織的籌資和資本返還政策。監事會批准了管理委員會關於在2021年支付末期和中期股息的建議。此外,監事會批准了2021-2023年的股票回購計劃,並每季度與管理委員會討論該計劃的執行情況。召開了一次特別監事會會議,討論2021年投資者日前後的信息,在此期間,投資者和其他關鍵利益攸關方瞭解了我們的長期戰略和財務模式的最新情況。我們相信,ASML處於有利地位,能夠繼續以可持續的方式提供長期增長和利益相關者價值。2021年的業務發展我們見證了先進和成熟節點的晶圓需求增加,這是受全球電子行業大趨勢以及各國推動技術主權的推動。這種激增的需求隨之而來的是我們自身運營和供應鏈中的挑戰。監事會密切監測這方面的事態發展,並確保管理層以最優先的態度應對這些挑戰。人和組織鑑於反洗錢法近年來的顯著增長,人和組織專題仍然是2021年監事會的重點領域,因為我們認為這些對反洗錢法未來的成功至關重要。有幾次,我們從人力資源和組織(HR&O)得到了最新情況。討論的主題包括ASML領導框架和年度員工敬業度調查結果。此外,監事會在遴選和提名委員會的協助下,就ASML的人才管理和人員發展方案以及管理委員會和高級管理層的繼任規劃進行了廣泛的討論並提供了諮詢意見。監事會很高興看到這一努力被投入到新員工的入職培訓中,使他們能夠儘快發展並做出貢獻。此外,重要的是業務流程要適合增長。我們監督了各種轉型項目,比如一個。一個是ASML的轉型計劃,在其升級的主幹信息系統的支持下,致力於在我們的客户產品的生命週期中確保配置完整性,同時增強業務流程和保持靈活性。我們特別關注該計劃的子路線圖,在這些路線圖中,進展不如計劃,我們關注挑戰和緩解行動。我們將繼續密切關注事態的發展。作為半導體行業的技術領導者,技術進步是ASML的首要任務之一。監事會高興地看到,ASML的EUV 0.33 NA掃描儀平臺在大批量製造中得到了越來越廣泛的採用,並越來越多地致力於下一代EUV 0.55 NA(High-NA)平臺,該項目的團隊在該平臺上取得了巨大進展。


ASML年度報告2021年146深度:ESG可持續性戰略我們與管理委員會討論了加強ESG可持續性的重點,我們審查了ASML基於環境、社會和治理領域的九個主題的新ESG可持續性戰略。我們很高興ASML內部對ESG可持續性的進一步關注,其中包括我們產品的能效、重複使用、多樣性和包容性以及負責任的供應鏈等主題。我們打算將管理委員會的薪酬目標與新的ESG可持續性戰略聯繫起來,並增加ESG業績衡量的權重,作為修訂管理委員會薪酬政策的一部分,我們打算在2022年提交大會。我們就ASML的戰略和優先事項、ASML的表現和挑戰,特別是與ASML業務的增長和增加的複雜性有關的問題交換了意見。其他討論議題包括氣候變化、亞非裔美國人的領導力和新冠肺炎流行病,特別是與在家工作有關的挑戰以及對亞非裔美國人的創新和身心健康的潛在影響。會上討論了監督委員會和管理委員會的組成,特別是在2021年年度大會上生效的變化。勞資委員會和監事會還討論了管理委員會和監事會的薪酬政策。2021年10月,技術委員會訪問了我們的主要供應商之一ZEISS,委員會在那裏會見了ZEISS管理層,討論了ASML和ZEISS之間的合作,特別是考慮到2021年達成的新框架協議。2021年監事會會議期間的其他相關主題包括:·IT和安全:我們審查了IT戰略,並在2020年第4季度進行了更新,並圍繞將IT作為業務運營的目標、業務相關性、可能的IT藝術和員工敬業度進行了研究。特別注意到安全和業務連續性方面的風險狀況有所增加,以及綜合信息技術戰略如何將業務相關性、價值交付和風險管理結合在一起。·剝離柏林Glas的非半導體業務:2021年第二季度將技術玻璃業務出售給Glas Trösch集團,2021年第四季度將醫療應用和SwissOptic業務出售給Jenoptik。·遵守規章制度:監事會監測規章制度的遵守情況,包括《荷蘭公司治理守則》,並隨時瞭解關鍵法律事項。·監事會的組成、概況和運作:我們廣泛討論了我們自己的組成、概況和運作、其各委員會的組成和運作以及管理委員會的組成和運作。更多信息可在推選和提名委員會報告中找到。·管理委員會業績:我們還監測管理委員會的業績,並決定管理委員會的薪酬目標和目標業績。更多信息可以在薪酬委員會的報告中找到。風險管理由於風險管理是監事會職責的一個關鍵要素,我們在年內定期收到風險管理的最新情況。會議注意到風險形勢和這一領域的事態發展、風險偏好以及管理委員會為減輕重大風險而採取的措施。2021年特別關注的領域是整個產品組合對ASML產品的需求強勁增長帶來的挑戰,這影響了ASML風險格局中的多種風險。另一個反覆引起注意的問題是與本組織快速發展有關的風險。年內,在深潛會議中對特定的風險領域進行了審查。2021年的主題包括信息技術和信息技術安全風險、知識產權風險和全球貿易形勢下的政治風險。有關ASML風險管理的更多信息,請閲讀:我們在2021年的表現-治理-我們如何管理風險。與利益相關者的關係監事會定期討論ASML與其股東的關係,以及全年與股東就ASML的戰略和業績、治理和ESG等主題進行接觸的監事會成員。薪酬委員會與ASML的各種股東和其他利益攸關方就管理委員會的薪酬問題舉行了接洽會議。有關這一主題的更多信息可在薪酬報告中找到。2021年,監事會代表團與勞資委員會舉行了兩次正式會議。在第一次會議上,由於在2020年12月舉行的勞資委員會選舉後,勞資委員會的組成發生了重大變化,雙方花了時間相互瞭解。


ASML《2021年年度報告》147該年討論的專題概覽見下表。第一季度·2020年年度業績和年度報告·2020年外部審計報告·2020年末期股息·管理和監事會薪酬委員會,·風險管理包括深度潛水:知識產權風險·市場和客户深度潛水:邏輯段·結果監事會評估·法律更新·監事會組成·法律問題報告·年度股東大會議程·第二季度·業務優先事項更新·戰略深度潛水:地緣政治·戰略深度潛水:蔡司·年度股東大會更新第三季度·2021年法定中期報告·股票回購計劃·業務優先事項更新·人力資源與運營更新·風險管理:更新風險前景與深度潛水:IT戰略與安全·戰略深度潛水:應用程序與整體平版印刷。HMI經驗教訓·戰略深入:ESG·組成SPAA董事會按2022年1月1日·資本市場日宣傳Q4·2021年中期股息提案·2021年和2022年業務優先事項更新·更新地緣政治發展·半導體市場和全球力量/ESG(包括分組會議)·工廠參觀·從技術趨勢到ASML產品戰略·長期端到端供應鏈設置/安裝基礎管理和服務(包括分組會議)·生產線增長、成本和資本分配2020-2030年·供應鏈短缺·產出能力挑戰·實物安全·長期財務計劃和2022年年度計劃·融資政策包括。資本回報和股利政策·人力資源與運營更新:領導框架·一個計劃


148會議和出席情況按照年度會議時間表,監事會每年至少開會四次,每當主席、一名或多名成員或管理委員會要求開會時。2021年,監事會召開了6次會議。在這些會議中,四次是虛擬舉行的,兩次是在維爾德霍温的ASML總部舉行的。除這些會議外,監事會和(或)管理委員會成員之間還舉行了幾次非正式會議和電話。監事會會議和監事會委員會會議分幾天舉行,確保有時間進行審查和討論。在每次會議上,監事會成員之間討論會議的目標和成果,以及監事會和管理委員會的職能和組成等議題。每次會議還討論了監事會不同委員會的報告。虛擬會議和麪對面會議像2020年一樣,2021年大多數監事會和監事會委員會會議都是由於新冠肺炎疫情而虛擬舉行的。為了應對虛擬會議帶來的挑戰,我們繼續應用各種措施:我們計劃在更多天內縮短會議時間,我們以小組形式舉行分組會議以優化互動,除了提供書面會議文件外,我們還利用視頻作為會議準備的一種手段。監事會對這些新的解決方案非常積極,並在2021年親自舉行的會議期間繼續使用這些解決方案。如下表所示,監事會會議和四個監事會委員會的會議出席情況良好。除監事會成員外,還邀請管理委員會成員參加監事會會議。管理委員會所有成員都出席了2021年的監事會會議。高級管理層成員定期被邀請就其專長領域內的專題提供最新情況。這使監事會有機會結識ASML的各種管理人員,監事會認為這些管理人員對其人才管理和繼任規劃活動非常有用。監事會會議出席情況概述監事會審計委員會薪酬委員會遴選和提名委員會主席Gerard Kleisterlee(主席)6/6 6/6 n/a 9/9 5/5 Annet Aris 6/6 n/a 6/6 9/9 5/5 Birgit Conix1 4/4 3/3 n/a Marc Durcan 5/6 n/a 4/4 5/a沃倫東部6/6 6/6 n/a特里·凱利6/6/6 n/a 9/9 4N/a Rolf-Dieter Schwalb 6/6/6 9/9 n/a n/a Hans Stork 6/6 n/a 9/9 n/a 5/5 Douglas Grose2 2/2 n/a n/a 5/5 3/3 Carla Smitts-Nusteling3 2/2 3/3 n/a 1.在4月29日的年度股東大會上任命,2021年還被任命為審計委員會成員。2.於2021年4月29日在年度股東大會上卸任。3.於2021年4月29日在年度股東大會上卸任。組成監事會決定履行其職能所需的監事會成員人數,最低人數為三人。監事會目前由八名成員組成。監事會非常重視其組成、獨立性和多樣性,並努力滿足所有相關準則和要求。為了確保適當和平衡的組成,監事會持續花大量時間討論其概況、組成和輪換時間表。


149為了妥善履行其任務,監事會認為,其成員能夠彼此之間、管理委員會和其他利益攸關方之間採取關鍵和獨立的行動是非常重要的。監事會及其個別成員的獨立性每年進行一次評估。根據《荷蘭公司治理守則》的定義,監事會所有現任成員都是完全獨立的,並已完成了涉及相關獨立性要求的年度調查問卷。多樣性ASML監事會目前的組成在性別、國籍、知識、經驗和背景方面是多樣化的,在國際商業的金融、經濟、技術、社會和法律方面具有適當水平的經驗。有關多元化的更多信息,請參閲公司治理-其他董事會相關事項一節。監事會技能矩陣Gerard Kleisterlee(主席)Annet Aris Birgit CONIX Marc Durcan Warren East Terri Kelly Rolf-Dieter Schwalb Hans Stork General Skills(上市)國際公司執行董事會成員L財務/治理L薪酬L人力資源/員工關係L IT/數字/網絡L ASML特定技能半導體生態系統L深刻理解半導體技術L高科技製造/集成供應鏈管理LL在亞洲的商業L在2021年的年度股東大會上的組成發生了變化,道格拉斯·格羅斯和卡拉·史密茨-努斯特林的任期已經屆滿。格羅斯和斯米茨-努斯特林在監事會任職八年後,將在2021年的年度股東大會上辭去監事會職務。正如在2020年年度股東大會上宣佈的那樣,監事會成員的人數暫時從8人增加到2020年的9人。格羅斯先生和斯米茨-努斯特林女士退休後,監事會決定只提名一名候選人--比吉特·康尼克斯女士--參加2021年年度股東大會。ASML荷蘭公司勞資委員會決定不使用其對2021年年度大會產生的空缺的建議權,大會決定任命CONIX女士,任期四年,自2021年年度大會起生效。因此,監事會在2021年的年度股東大會上由8名成員組成。2022年組成的變化根據2022年年度股東大會,特里·凱利和漢斯·斯托克的任期將屆滿。斯托克已通知監事會,他無法獲得連任,他將在本屆任期結束後於2022年的年度股東大會上退休。監事會感謝斯托克先生在過去八年中做出的寶貴貢獻,在此期間,監事會從他的知識和經驗中受益匪淺。凱利已經通知監事會,她可以在2022年的年度股東大會上連任。由於凱利女士最初的任命是根據勞資關係委員會增強的推薦權而作出的,因此,就凱莉女士因輪值退休而出現的空缺,勞資委員會亦有更大的推薦權。


ASML 2021年年度報告150 2022年年度股東大會的議程和解釋性説明將載有關於提名(重新)任命監事會成員的進一步信息。關於監事會成員的更多信息和背景,包括國籍、性別和年齡的詳細信息,請參閲我們2021年業績-治理-公司治理-監事會的監事會成員信息,以及本監事會報告中包含的監事會技能矩陣。入職和培訓我們為新任命的監事會成員制定了全面的入職計劃,旨在確保新成員對我們的業務和戰略以及我們面臨的主要風險有很好的瞭解。入職計劃包括與其他監事會和管理委員會成員的會議、技術教程以及我們的業務線、部門和公司部門的詳細演示。現場參觀和工廠參觀也是入職計劃的一部分。在加入監事會時,CONIX女士參加了一個入職課程,該課程部分是虛擬的,部分是親自參加的。為了確保永久性教育,監事會定期在全體會議和監事會委員會會議上就各種主題進行深入探討。在2021年期間,就各種主題舉行了戰略和風險深度潛水,請參閲本監事會報告中的我們的活動2021部分。此外,外部演講者或顧問出席了各種委員會會議,就技術發展和技術前景等主題提供由外而內的意見。監事會還進行了實地考察。我們參觀了位於ASML總部的EUV工廠,並瞭解了EUV 0.33 NA和EUV 0.55 NA(High-NA)計劃的最新情況。我們看到了第一個高NA系統的組裝準備工作,並對所取得的成就印象深刻。技術委員會訪問了ZEISS,其中包括ZEISS的High-NA計劃的進展情況。最後,組織了一次ASML在威爾頓和聖地亞哥的生產設施的虛擬參觀。評估監事會非常重視結構性和持續的評估進程,認為這是確保不斷改進我們的工作方式的一種手段。每年,監事會在遴選和提名委員會的協助下,評價監事會及其各委員會的組成、權限和運作情況、監事會與管理委員會、其各委員會、其個人成員、監事會和各委員會主席之間的關係、以及管理委員會及其個人成員的組成和運作情況,以及對監事會和管理委員會成員的教育和培訓需求。原則上,對監事會的評價每三年由一名外部顧問進行一次;在其他兩年,對監事會的評價是通過使用書面問卷進行自我評估,然後由主席和監事會成員個人進行一對一的會議。2021年對監事會及其委員會的評價是通過遴選和提名委員會編寫的網上調查進行的。監事會主席還與監事會成員個人舉行了會議。評價圍繞以下主題展開:組成、利益攸關方監督、戰略監督、風險管理和繼任規劃、管理和會議重點以及改進的優先事項。管理委員會和外聘審計員的向上審查也是年度評估的一部分。監事會的評價結果在2022年初進行了討論。結論是,監事會及其委員會繼續運作良好。進一步改進監事會職能的建議包括進一步優化會議議程,以確保經常性項目和戰略專題以及與業務、人員和組織有關的專題之間的適當平衡。其他建議涉及在會議期間的發言和討論之間取得平衡,以及在會議之外增加與管理層和本組織的接觸。管理委員會還在2021年進行了一次自我評價,重點是管理委員會的集體作用、責任和職能,以及管理委員會成員個人的職能。這一自我評價是在管理委員會專門討論這一問題的若干場外會議上進行的。作為自我評價的一部分,完成了一項調查,並與管理委員會個別成員進行了面談。討論的主題包括管理委員會的戰略重點、利益相關者參與、人員和組織、董事會動態和董事會組織。同樣在2022年,還將舉行一次管理委員會特別會議,繼續討論所提出的意見並採取後續行動。自我評價的總體結論是,反洗錢法有一個運作良好的管理委員會。還與監事會及其遴選和提名委員會討論了自我評價問題。


ASML 2021年年度報告151監事會委員會3協助監督我們財務報告的完整性和質量以及風險管理和控制的有效性4與審計委員會和技術委員會合作監督薪酬政策的制定和執行4就我們執行業務戰略所需的技術計劃提供諮詢4協助擬定監事會和管理委員會的遴選標準和任命程序監事會有四個常設委員會,其成員由監事會從其成員中任命。全體監事會仍然對所有決定負責,即使是由監事會的一個委員會準備和作出的。監事會的四個委員會負責準備董事會全體會議的決策。在全體監事會會議上,各委員會的主席報告委員會會議上討論的事項。此外,所有監事會成員都可以獲得委員會會議的會議文件和會議紀要,使全體監事會能夠做出適當的決定。審計委員會審計委員會協助監事會監督我們財務報告的完整性和質量,以及內部風險管理和內部控制制度的有效性。成員主要責任·Rolf-Dieter Schwalb(主席)·Birgit CONIX·Warren East·監督ASML財務報表和相關非財務披露的完整性和質量,並提出建議以確保這種完整性;·監督會計和財務報告程序以及財務報表審計;·監督我們內部風險管理和控制系統的有效性,包括遵守相關法律和法規以及行為守則的效果;·監督我們的披露控制程序和程序系統以及財務報告內部控制系統的完整性和有效性;·監督外聘審計員的資格、獨立性和業績,並確定其報酬;和·監督內部審計的運作。審計委員會的成員都是監事會的獨立成員。監事會根據《薩班斯-奧克斯利法案》第407節和荷蘭法律規則,考慮到他們廣泛的財務背景和經驗,決定Schwalb先生和Conix女士都有資格成為審計委員會的財務專家。審計委員會獲得所有相關信息,以便能夠充分和有效地監督財務信息的編制和披露。這包括能夠判斷未來6至12個月前景和預算的(半導體)市場的現狀和發展、歐盟國際財務報告準則和美國公認會計準則的應用、會計政策的選擇以及內部和外部審計師的工作。審計委員會每年至少舉行四次會議,並且總是在季度、半年和年度財務業績公佈之前召開。2021年,審計委員會召開了6次會議。


ASML 2021年年度報告152經常性議程議題(季度)出席·財務更新和融資·審查季度財務結果和新聞稿·會計更新·內部控制更新·意見外聘審計員·風險和內部審計更新·披露委員會報告·法律事項報告·道德和合規除審計委員會成員外,監事會主席儘可能出席審計委員會會議。外聘審計員和內部審計員有出席審計委員會會議的長期邀請,並出席了2021年審計委員會的所有會議。首席執行官、首席財務官、財務執行副總裁、公司總會計師以及風險和業務保證副總裁應邀參加會議。以下概述提供了審計委員會2021年會議期間討論的一些專題,以及反覆出現的議程專題。Q1·2020年度報告和財務報表美國GAAP和歐盟-IFRS·會計深潛:資產負債表審查·2020外部審計報告·年度報告流程·資本回報:2020年末期股息和股票回購計劃·舞弊風險評估·2020年外聘審計師評估結果·業績自我評估審計委員會·年度計劃風險和內部審計Q2·批准的外部審計計劃2021·管理和監事會支出報告2020 Q3·法定中期報告2021·外部審計輪換流程·合規深度潛水:出口控制·股票回購計劃2021-2023年·財務和IT轉型計劃Q4·2021年中期股息·會計深度:蔡司框架協議·2021年年度報告流程·長期財務計劃·2022年年度計劃·合規深入:財務合規和國家合規韓國·年度税務更新·外部審計更新·程序審查規則審計委員會·2021年外聘審計師評估財務流程,審計委員會除其他事項外,將重點放在財務報告上,尤其是審查反洗錢組織的年度和中期報告,包括年度和中期財務報表以及非財務信息。審計委員會還密切監測進展情況,並討論了美國公認會計準則和歐盟國際財務報告準則年終審計的結果。在出版之前,審查了季度業績和隨附的新聞稿。公司總會計師每季度向審計委員會提供會計最新情況,重點介紹與本季度相關的主要會計事項。審計委員會在這方面經常把重點放在收入確認上,因為這是一個複雜的會計問題,外聘審計員也認為這是一項重要的審計事項。審計委員會季度程序的其他重要內容包括討論外聘審計員對會計事項的意見,以及披露委員會關於季度披露的準確性和完整性的報告。在這一年中,深入討論了具體的會計問題,例如,阿斯佩爾伊斯蘭會議組織與ZEISS之間於2021年9月21日達成的新框架協議的會計方面。在這次審查中,審計委員會注意到管理層和外聘審計員對會計處理的評估,並同意這些結論。還進行了年度深入的資產負債表審查。會議廣泛討論了ASML的業務和財務短期和長期業績,考察了各種業績情景及其對ASML的結果、現金產生以及融資和資本返還政策的影響。2021年的特別重點是客户需求的激增、ASML為滿足這一需求而交付的能力以及對財務數字的潛在影響。審計委員會審查並向監事會提供了關於長期財務計劃、反洗錢法籌資和反洗錢法資本返還政策的諮詢意見。具體討論的是擬議的末期股息支付。


ASML《2021年年度報告》153關於2020財政年度和2021年財政年度中期股息,經監事會根據審計委員會的建議核準。審計委員會隨時瞭解2020-2022年股票回購計劃的最新進展,該計劃於2021年7月被一個新計劃取代。審計委員會還廣泛討論了進入新的2021-2023年股票回購計劃,從而考慮到ASML的現金狀況和自由現金流,並就管理委員會的建議向監事會提供了積極的建議。風險管理和內部控制在整個2021年,審計委員會密切監測風險管理和風險管理進程,包括根據季度最新進展情況及時採取高優先行動的後續行動。審計委員會監督年度內部控制程序。重點是確定範圍、重要性水平、更新內部控制框架、設計和有效性測試,以及管理層對ASML對財務報告和披露的內部控制的評估。還與審計委員會討論了內部審計員和外聘審計員就內部控制的設計和有效性提出的意見。我們對ASML的內部控制框架在2021年生效的結論感到高興。2021年與需求增加相關的新興風險,鑑於ASML的增長和提升對風險格局中的幾個風險類別的潛在影響,我們對ASML為滿足客户需求而增長和提升導致的新興風險進行了深入審查。我們詳細研究了受影響的風險和管理層確定的緩解措施。我們特別關注流程有效性和效率風險,重點放在支持流程上,不僅考慮到與顯著增長相關的挑戰,還考慮到ASML產品、IT和流程環境的不同業務模式。提供了關於欺詐和欺詐風險管理的年度最新情況。內部審計審計委員會審查了年度內部審計計劃,包括2021年初的審計範圍。年內,審計委員會每季度向審計委員會通報內部審計活動的最新進展情況,並審查審計結果以及行動計劃的後續行動情況。審計委員會還討論了內部管理函件,並監測了管理委員會對內部管理函件所提建議的後續行動。外部審計審計委員會審查了2021年外部審計計劃,包括範圍、重要性水平和費用。它監測外部審計活動的進展情況,包括審查在季度程序中提出的意見和在年終進行的審計。審計委員會監督管理委員會對外聘審計員在其定期更新的內部控制中報告的控制缺陷採取的後續行動。審計委員會確認,關於2021年財政年度的來文沒有需要在本報告中提及的重要項目。審計委員會在2021年底評價了外聘審計員的業績,包括對其獨立性進行了審查。評價結果導致審計委員會建議監事會向2022年年度股東大會提交一項建議,任命畢馬威為2023年報告年度的外聘審計員。審計委員會決定獨立完成這項工作。由於需要輪換審計夥伴,自2021年報告年度起,一名新的牽頭審計夥伴開始負責ASML審計。預期到這一變化,已在過渡進程中投入了大量努力,審計委員會對過渡順利進行感到高興。2021年9月,審計委員會啟動了強制外聘審計公司輪換的遴選程序。雖然目前的外聘審計員只需在2025年後輪換,但審計委員會認為,鑑於有資格選擇的候選事務所數量有限,並考慮到潛在候選審計事務所提供的非審計服務,及早開始遴選程序是審慎的。成立了一個遴選委員會,由審計委員會成員、首席財務官、執行副總裁、財務總監和公司總會計師組成。推選委員會在2021年召開了三次會議。在2022年年度股東大會上,我們打算提交一份提案,為2025年報告年度任命一名新的外聘審計員。該提案將載有關於後續進程的更詳細信息。道德和合規我們認為以誠信的最高標準行事對我們為利益相關者創造價值和ASML的長期成功至關重要。審計委員會收到關於道德操守方案的季度報告,包括道德操守和道德操守培訓戰略領域的趨勢和風險。審計委員會還參與了ASML直言不諱和不報復政策的修訂。在2021年期間,我們還討論了ASML的合規計劃,並對具體的合規主題進行了詳細的審查,如出口管制、金融合規和韓國的國家合規審查。此外,一個


ASML 2021年年報154審計委員會在2021年討論的其他主題是ASML的税收政策和規劃、財務和IT轉型計劃以及季度法律事務概述。審計委員會還對其議事規則進行了年度審查和更新。在大部分審計委員會會議後,內部和外部審計師都會在管理層不在場的情況下與審計委員會開會,討論他們對審計委員會應注意的事項的看法。這可能包括它們與審計委員會的關係、與管理委員會的關係以及任何其他被認為需要討論的事項。審計委員會還定期與首席財務官舉行一對一會議。薪酬委員會薪酬委員會向監事會提出建議,並準備監事會關於管理委員會和監事會薪酬的決議。成員主要職責·特里·凱利(主席);·安妮特·阿里斯;·羅爾夫-迪特爾·施瓦布;·漢斯·斯托克。·監督管理委員會薪酬政策的制定和執行,並編寫監事會薪酬政策;·審查並向監事會提出與管理委員會薪酬變動部分有關的公司目標和目標;·在向監事會提出這些公司目標和目標供監事會核準之前,對實現這些目標以及超過這些目標可能產生的財務後果進行情景分析;·根據這些目標和宗旨評價管理委員會成員的業績,並在此基礎上向監事會建議管理委員會成員的適當報酬水平。根據納斯達克上市規則,每位成員均為我們監事會的獨立非執行成員。凱利女士既不是我們管理委員會的前成員,也不是另一家公司的管理委員會成員。目前,薪酬委員會的成員均不是另一家荷蘭上市公司的管理委員會成員。2021年薪酬委員會會議薪酬委員會每年至少舉行兩次會議,並在認為必要時更頻繁地舉行會議。2021年,薪酬委員會召開了9次會議。在這9次會議中,有4次是定期會議,5次是特別會議,安排的時間與管理委員會薪酬政策的基本審查有關。經常性議程議題出席·管理委員會薪酬·監事會薪酬·短期和長期激勵目標的最新業績除了薪酬委員會成員外,薪酬委員會通常邀請首席執行官、執行副總裁、人力資源和福利主管,在某些情況下還邀請首席財務官出席(部分)會議。如有需要,薪酬委員會的外部顧問亦會獲邀出席薪酬委員會的會議。


ASML 2021年年度報告155以下概述詳細介紹了2021年薪酬委員會會議期間討論的議題。Q1·短期激勵計劃:2020年業績、2020年派息和2021年目標·長期激勵計劃:2018-2020年的股權歸屬業績,有條件撥款和目標業績期間2021-2023年·2020年薪酬報告·自我評估薪酬委員會·董事會薪酬政策審查·遴選外部薪酬顧問Q2·董事會薪酬政策審查Q3·管理層薪酬政策審查·包括勞動力市場參考小組的管理層薪酬政策審查·對新薪酬政策方向的反饋BoM·股權準則Q4·管理層薪酬政策審查·方法和規劃利益相關者外聯·更新短期激勵計劃和長期激勵計劃·2021年薪酬報告草案·合規管理層成員持股指南·年度股東大會期間2022-2023年·2021年管理委員會薪酬委員會商定程序外聘審計師,薪酬委員會建議對管理委員會和監事會的薪酬政策作出某些調整。調整後的薪酬政策於2021年4月29日提交大會並獲得通過。從2021年第二季度開始,薪酬委員會對管理委員會的薪酬政策進行了根本審查。這項審查原計劃在2020年進行,但由於新冠肺炎疫情而推遲。關於管理委員會薪酬政策的根本審查的更多信息,請參閲薪酬報告,這也是本2021年年度報告的一部分,以及我們打算在2022年3月公佈的2022年年度股東大會的召集文件。薪酬委員會就管理委員會的總薪酬方案以及由短期現金激勵和長期股票激勵組成的可變薪酬向監事會提出了建議。薪酬委員會向監事會提出了管理委員會浮動薪酬的2021年目標。年內,薪酬委員會密切監察管理委員會的表現。它就2021年目標的實現情況和管理委員會成員的相關薪酬水平向監事會提出建議。在建議和評估管理委員會與管理委員會成員浮動薪酬的公司目標和目的相關的業績時,薪酬委員會與審計委員會和技術委員會密切合作。薪酬委員會注意到管理委員會個別成員就其薪酬數額和結構提出的意見。薪酬委員會審查了管理委員會成員的持股情況,以評估管理委員會薪酬政策中所載股份所有權準則的遵守情況。薪酬委員會還編寫了薪酬報告,其中詳細説明瞭監事會和管理委員會成員的薪酬。


ASML 2021年年度報告156在2021年股東周年大會上,我們收到了股東和股東利益組織對薪酬報告的寶貴反饋,特別是如何進一步提高薪酬透明度。我們已經考慮到了這一反饋,因此,我們在2021年薪酬報告中實施了幾項修改。例如,我們現在包括對可變薪酬的目標和實際業績水平的事後披露(如果這不違反ASML的戰略和/或商業利益)。請閲讀本年度報告中包含的2021年薪酬報告。薪酬委員會聘請外聘審計員執行與執行管理委員會薪酬政策有關的某些商定程序。薪酬監事會在2021年第一季度,薪酬委員會完成了對監事會薪酬的基準審查。這導致對監事會和委員會的會費進行了一些調整。修訂後的監事會薪酬政策納入了這些調整,於2021年4月29日提交大會並獲得通過。詳情見《監事會-薪酬報告》。遴選和提名委員會遴選和提名委員會協助監事會履行其在監事會和管理委員會的組成和運作以及監測公司治理髮展方面的職責。成員主要責任·Gerard Kleisterlee(主席)·Annet Aris·Mark Durcan·Terri Kelly·擬定監事會和管理委員會成員的遴選標準和任命程序,監督管理委員會有關高級管理層選拔和任命標準的政策;·定期評價管理委員會和監事會的範圍和組成,並提出監事會概況;·定期評價管理委員會和監事會及其個別成員的運作情況。·擬定監事會關於任命和重新任命管理委員會成員以及提議(重新)任命監事會成員的決定·監測和討論公司治理方面的事態發展。根據納斯達克上市規則,每位成員均為我們監事會的獨立非執行成員。推選和提名委員會會議推選和提名委員會每年至少舉行兩次會議,並在認為必要時更頻繁地舉行會議。2021年,推選提名委員會召開了9次會議。經常性議程議題出席·作用、組成、運作的管理委員會·作用、組成、運作的監事會·公司治理除遴選和提名委員會成員外,兩位總裁和執行副總裁人力資源辦公室定期受邀出席(部分)會議。如認為有需要,亦會邀請外聘顧問出席推選和提名委員會的會議。


ASML 2021年年度報告157以下概述詳細介紹了2021年薪酬委員會會議期間討論的議題。上半年·組成管理委員會,包括多樣性方面,以及繼任管道·組成監事會,包括。2021年委員會的繼任和組成·2022年和2023年年度股東大會監事會組成的變化和監事會成員任命的提名·新任命監事會成員的上崗計劃·下半年評價監事會和委員會·未來組成的管理委員會,包括多樣性要求和繼任流水線·2022年和2023年年度股東大會監事會組成變化·2022年年度股東大會監事會組成和監事會成員任命提名·2022年年度股東大會監事會委員會組成·監事會和委員會評估·公司治理更新:荷蘭性別多元化法案·董事會組成優先股基金會2021年1月1日,遴選和提名委員會花了大量時間討論管理委員會未來的組成、角色和責任,例如審查人才長凳,討論頂尖人才的職業發展,為未來的管理委員會角色做準備。委員會還評估了管理委員會及其個別成員的運作情況。為此目的,主席與管理委員會的每個成員舉行了會議,並與委員會討論了會議結果。Frits van Hout在他的任期結束後退休,他的任期將於2021年年度股東大會結束。ASML沒有任命Frits van Hout的繼任者。因此,管理委員會由五名成員組成,從2021年年度大會開始生效。Frits van Hout的職責已由剩餘的管理委員會成員接管,以確保ASML戰略的不間斷執行,以實現其為利益相關者設定的目標。根據2022年年度股東大會,温寧克先生、範登布林克先生、達森先生、福奎先生和施奈德-毛努裏先生的任期將屆滿。有鑑於此,遴選和提名委員會和監事會正在彼此之間以及與管理委員會的個別成員廣泛討論是否有可能延長到2022年年度大會生效的任命期。監事會的組成、作用和職責推選提名委員會對監事會的組成進行了廣泛的討論。2021年監事會的變動根據遴選和提名委員會的建議,監事會決定在2021年對其委員會的組成進行若干變化。Carla Smitts-Nustling退休後,Rolf-Dieter Schwalb成為審計委員會主席,Birgit CONIX成為審計委員會成員。特里·凱利接任薪酬委員會主席,安妮特·阿里斯作為正式成員加入薪酬委員會。馬克·杜爾坎被任命為技術委員會主席,接替道格拉斯·格羅斯退休。道格拉斯·格羅斯退休後,馬克·杜爾坎和特里·凱利加入了遴選和提名委員會。最後,安妮特·阿里斯被任命為監事會副主席。遴選和提名委員會還討論了在2022年年度股東大會上生效的其組成的變化,並就任命Hans Stork的繼任者的提名向監事會提供建議,Hans Stork將在我們的監事會服務八年後退休。詳情見監事會--監事會報告--組成。會議花了大量時間討論監事會的概況和輪換時間表,特別是關於任命和重新任命監事會成員以填補短期和長期空缺的問題。因此,建議監事會提名比爾吉特·康尼克斯為監事會成員,自2021年年度大會起生效。遴選和提名委員會還根據退休和新任命討論了監事會委員會的組成,並提出了幾項變動,這些變動將在2021年年度股東大會上生效。


ASML 2021年年度報告158在2021年底和2022年初,遴選和提名委員會討論了監事會個別成員的職能以及監事會自我評價的過程和結果。有關自我評估的更多細節,請參見監事會-監事會報告-評估。公司治理作為監測公司治理髮展的責任的一部分,遴選和提名委員會討論了荷蘭議會2021年9月28日通過的荷蘭性別多樣性法案的發展及其對ASML的影響等問題。對投資者和股東利益組織關注的事項也進行了討論。技術委員會技術委員會就執行業務戰略所需的技術計劃向監事會提供建議。成員主要職責·Mark Durcan(主席)·Annet Aris·Gerard Kleisterlee·Hans Stork·就技術趨勢、潛在替代戰略研究、技術戰略、產品路線圖、所需技術資源和研發中的運營表現提供建議;·就ASML的競爭地位向監事會提出建議;·討論為衡量短期和長期績效設定的技術目標以及與這些目標相關的成就,並就此問題向薪酬委員會提供建議。技術委員會得到外部專家和來自ASML內部的專家的支持,他們在該委員會審查和討論的議題上擔任顧問。外部專家可能包括客户、供應商和合作夥伴的代表,以增加委員會對開發我們的尖端系統所需的技術和研究的瞭解。2021年技術委員會會議一般情況下,技術委員會每年至少召開兩次會議,並在認為必要時更頻繁地舉行會議。2021年,技術委員會召開了五次會議。經常性議程項目出席·產品路線圖·進步技術領導指數除技術委員會成員外,委員會的外部和內部顧問定期出席委員會會議。顧問們沒有投票權。除經常性議程項目外,技術委員會還在2021年審查和討論了其他事項。下表概述了這些主題。Q1·業務線審查:應用·審查自我評估技術委員會Q2·業務線審查:EUV(包括High-NA)Q3·業務線審查:DUV·摩爾定律的未來·邏輯和記憶Q4的路線圖·EUV(包括High-NA)的路線圖現狀和挑戰·DUV的路線圖和挑戰·中長期路線圖和技術展望審查2021年,技術委員會主要專注於審查EUV 0.55 NA(High-NA)、EUV 0.33 NA、DUV及其應用。在這方面,從商業角度和技術角度審查和深入討論了關鍵的挑戰和機遇。在每次會議期間,技術委員會還討論了技術領導指數所列技術目標的進展情況,技術領導指數是管理委員會短期和長期浮動薪酬的業績衡量標準。在專門為此目的計劃的一次會議上,技術委員會討論了關於技術指標的最後成果和新業績期間的技術指標。技術委員會隨後向薪酬委員會和監事會提供了諮詢意見。


ASML 2021年年度報告159第一季度的會議致力於討論業務線應用程序方面的成就。向技術委員會通報了對2026年的展望、市場發展、競爭格局和這方面的機會。此外,還提供了關於計算光刻、光學計量、電子束計量和控制以及數據產品的最新情況。在這次會議上,技術委員會還討論了對技術委員會運作情況進行外部評價的結果。在第二季度,討論了EUV 0.33 NA和EUV 0.55 NA(High-NA)的成就和挑戰。特別關注了EUV 0.33 NA的市場發展和性能,以及產品和動力路線圖。在High-NA上,向技術委員會通報了客户對High-NA的興趣和參與度、發貨計劃的狀況和價值主張。在這次會議期間進行了現場直播,向技術委員會提供了威爾頓和聖地亞哥ASML生產設施的虛擬參觀。此外,ZEISS還提供了其在德國奧伯科興的設施的虛擬參觀。技術委員會第三季度會議的主要焦點是DUV的發展和成就。在產品路線圖和技術計劃的旁邊,技術委員會討論了ASML及其供應鏈提高產能以滿足客户需求的可能性,繼續創新以支持我們客户的路線圖和經濟性,以及對效率和質量的推動。此外,來自IMEC的外部發言者被邀請向技術委員會通報他們對摩爾定律的未來以及邏輯和記憶路線圖的看法。第四季度,技術委員會將重點放在路線圖的現狀和與EUV 0.55 NA(High-NA)、EUV 0.33 NA和DUV相關的挑戰上。此外,技術委員會還展望了中長期路線圖和技術前景。來自ZEISS管理層的代表出席了第四季度技術委員會會議,討論了與產品和技術路線圖相關的合作和共同挑戰。第四季度技術委員會會議在德國奧伯科興的ZEISS舉行。在訪問期間,技術委員會和ZEISS的一個代表團討論了兩家公司之間的合作,也參考了2021年達成的新框架協議。他們還討論了各種產品路線圖的現狀和相關挑戰。技術委員會還參觀了ZEISS製造工廠,見證了第一個EUV 0.55 NA系統的組裝準備工作取得的巨大成就。技術委員會深入的技術討論以及隨後在全體監事會中就這些討論的要點進行的報告增加了監事會對我們技術要求的瞭解。它還使監事會能夠充分監督我們面臨的戰略選擇,包括我們在研發方面的投資。ASML的財務報表和利潤分配由管理委員會編制,已由畢馬威會計師事務所審計。管理委員會和監事會的所有成員都簽署了這些財務報表。我們建議股東採納2021年的財務報表。我們還建議我們的股東採納管理委員會的建議,支付每股普通股3.70歐元的末期股息,加上每股普通股1.80歐元的中期股息,2021財政年度的總股息為每股普通股5.50歐元。最後,我們要對管理委員會和所有ASML員工表示感謝,感謝他們在這充滿挑戰的一年裏繼續致力於工作和辛勤工作。監事會,Gerard Kleisterlee主席Annet Aris,副主席Birgit CONIX Marc Durcan Warren East Terri Kelly Rolf-Dieter Schwalb Hans Stork Veldhoven,2022年2月9日


ASML年度報告2021年160薪酬委員會主席Terri Kelly(薪酬委員會主席)新冠肺炎大流行之際,我們高興地看到ASML在一個非常動態的環境中取得了出色的表現。半導體終端市場的強勁增長和不斷提高的光刻強度以滿足對更多晶片產量的需求,導致對ASML產品和服務的巨大需求。為了滿足當前和未來的客户需求,ASML及其供應鏈合作伙伴正在積極增加和提高產能。此外,在當前競爭激烈的市場中,加大招聘和留住ASML員工的力度已變得越來越具有挑戰性。總體而言,從高標準開始,ASML的領導層制定了雄心勃勃的目標,並能夠解決和應對許多挑戰。監事會非常支持ASML的長期戰略,併為管理委員會和整個組織所取得的成就感到自豪。2021年薪酬委員會的變化2021年,Annet Aris成為薪酬委員會成員,我對在2021年年度股東大會後接替Rolf-Dieter Schwalb擔任主席感到榮幸。薪酬委員會的組成提供了適當的平衡,從地理和歷史的角度來看,都有非常不同的觀點。對我來説,這是一個很好的機會,因為我們正在深入研究管理委員會的薪酬政策,評估哪些方面運行得很好,並看看我們還可以改進的地方。我們還依賴外部專家幫助我們瞭解與其他同行組織的最佳實踐,以及我們許多成員不斷變化的期望。2021年決定2021年第一季度,我們完成了對管理委員會和監事會薪酬政策的審查。根據對勞動力市場參考小組的兩年一次的審查結果和2020年期間執行的薪酬基準,監事會的結論是,適當略微調整管理委員會的薪酬政策,以保持相對於參考市場的有競爭力的薪酬水平,在參考市場中,ASML的規模和複雜性再次大幅增長。修訂監事會薪酬政策需要修改監事會和各委員會的會費,以保持競爭力,並繼續吸引和留住合格的監事會成員。尊敬的利益相關者,我很高興代表薪酬委員會提交2021年薪酬報告,總結了管理委員會和監事會的薪酬政策,並解釋了這些政策在2021年的實施情況。為了保持快速的創新步伐,並確保作為一家公司的長期成功,ASML需要吸引和留住最優秀的人才。薪酬是一個重要的因素,但不是唯一的因素--我堅信,人們的動機也不止於此。我們有一個偉大的故事,ASML的全球影響仍在增長,與才華橫溢的同事在技術前沿合作也是非常有益的-我們提供了一種工作文化,使人們能夠發展他們的才華,感受到尊重,並盡其所能地工作。在展示ASML的文化價值並使其更加明確方面,已經做了很多偉大的工作。在努力在保護我們的競爭地位和提供透明度之間保持良好平衡的同時,我們不斷尋找機會,在我們如何獎勵我們的領導者和更廣泛的組織時加強這些價值觀,以推動ASML的長期成功。2021年業績摘要回顧2021年,所有人都認為這不是輕鬆的一年,因為


ASML向2021年年度股東大會提交了2021年年度報告161,並以90%以上的支持率通過。為了在2021年提高我們薪酬政策的透明度,我們與治理組織、代理顧問、個人股東和ASML的勞資委員會進行了多次互動。這些互動與上文提到的修訂管理委員會和監事會的薪酬政策以及2020年薪酬報告有關。討論涉及三個主題:i)目標設定和實際成績的透明度;ii)酌情調整ROAIC分數,作為長期激勵總體成績分數的一部分;iii)與可持續性有關的業績衡量標準。最後,與我們的利益攸關方就管理委員會的總體薪酬政策、薪酬與公司戰略和業績之間的聯繫、薪酬結構以及短期和長期激勵的績效指標交換了意見。討論非常有建設性,我們收到了關於如何進一步提高薪酬報告透明度的寶貴反饋和建議。這份薪酬報告已經考慮到了這一反饋。2021年第二季度開始的對管理委員會薪酬政策的基本審查也考慮到了利益攸關方的反饋。在這方面,與可持續性有關的績效指標得到了廣泛討論,特別是其權重,以及如何最好地界定績效指標並將其與2021年修訂的ASML的ESG戰略聯繫起來。展望2022年,從2021年第二季度開始,薪酬委員會對管理委員會的薪酬政策進行了根本性審查-這一審查原計劃在2020年進行,但因新冠肺炎疫情而推遲。審查的重點是薪酬結構和要素,以及勞動力市場參考小組。我們認為進行一次根本性的審查是適當的,因為對該政策的上一次結構修訂是在2017年進行的,自那時以來,只通過調整薪酬水平(主要是STI和LTI)來實施微小的修訂,以保持競爭力。五年後,是時候做一次更根本的審查了,看看這項政策是否對公司的戰略方向提供了最佳支持。這也是一個回顧當前市場實踐、社會趨勢和預期以及公司治理髮展的時刻。根據這一基本審查的結果,我們打算在2022年的年度股東大會上提交一份關於修訂管理委員會薪酬政策的提案。主要變化涉及修訂的勞動力市場參考小組和薪酬結構,以及調整後的STI和LTI業績指標。在對管理委員會薪酬政策進行基本審查期間,我們繼續與管理委員會進行對話,以聽取他們的看法和反饋意見。薪酬委員會和ASML領導層之間的密切合作是我們的首要任務,以建立信心,使我們相信我們正在衡量重要的事情,我們正在將自己與正確的公司進行比較,我們正在設定雄心勃勃但現實的目標。我們亦正與勞資委員會、管治組織、代理顧問和我們的大股東就管理層薪酬政策的擬議改變進行對話。關於這些利益攸關方參與的更多信息將列入2022年年度股東大會的召集文件。為了對管理委員會的薪酬政策進行根本審查,我們聘請了一位外部薪酬專家,引入了一雙新的眼睛來挑戰我們,並與我們分享他們在管理人員、風險和資本領域的經驗。關於經修訂的管理委員會薪酬政策的完整提案將列入2022年年度股東大會的召集文件,預計將於2022年3月公佈。尚未對監事會薪酬政策進行根本性審查,因為監事會薪酬政策相對較新,是根據新的法律要求於2020年推出的。我要感謝我們的股東和其他利益相關者的參與,並分享了他們對高管薪酬的看法。我們歡迎利益相關者就這份2021年薪酬報告提出反饋意見,該報告將於2022年4月29日提交給股東進行諮詢投票。此外,我們希望我們的股東將支持2022年管理委員會薪酬政策,我們打算在2022年年度股東大會上提交通過該政策。特里·凱利,薪酬委員會主席


ASML年度報告2021年162薪酬報告40內部薪酬比率(首席執行官與每個全職員工的平均薪酬比率)180.3%實現目標的134.5%管理委員會1970萬歐元的總薪酬這份報告描述了管理委員會和監事會的薪酬政策在2021年是如何實施的。管理委員會薪酬在薪酬報告的這一部分中,我們概述了2021年管理委員會薪酬政策,該政策於2021年4月29日由大會通過,並於2021年1月1日起實施。報告還載有管理委員會2021年薪酬政策的執行情況,以及管理委員會成員2021年財政年度的實際薪酬細節。管理委員會2021年薪酬政策可以在我們網站的治理部分找到。薪酬政策薪酬作為戰略工具2021年管理委員會薪酬政策支持ASML在高度動態的環境中的長期發展和戰略,同時旨在滿足所有利益相關者的要求並保持可接受的風險狀況。ASML面臨的挑戰比以往任何時候都更多地是推動技術、服務客户和滿足利益相關者。這些驅動因素嵌入到ASML及其附屬企業的身份、使命和價值觀中,是政策的支柱。監事會確保該政策及其執行與ASML的目標相聯繫。2021年管理委員會薪酬政策旨在使ASML能夠為管理委員會吸引、激勵和留住合格的行業專業人員,以確定和實現我們的戰略目標。該政策承認內部和外部環境,以及我們的業務需求和長期戰略。該政策鼓勵注重長期價值創造以及ASML的長期利益和可持續性的行為,同時採用良好的公司治理的最高標準。它的目的是利用非財務和財務業績衡量標準相結合的方式,激勵取得傑出成就。技術領先、客户價值創造和員工敬業度是為我們的股東帶來可持續回報的關鍵驅動力。薪酬原則ASML對所有員工適用的薪酬理念包括這樣的原則,即ASML希望在其相關勞動力市場具有競爭力,並在此類市場上公平支付薪酬,同時保持內部一致性,以反映個別工作的規模和複雜性的差異。監事會對ASML的管理委員會適用同樣的原則,並在制定薪酬政策時考慮到ASML僱員的薪酬和僱用條件。2021年管理委員會薪酬政策建立在以下原則的基礎上:·透明--政策及其執行是明確和實際的;·一致--政策與針對ASML高級管理人員和其他ASML員工的短期激勵和/或長期激勵政策保持一致;·長期--激勵側重於長期價值創造;·合規--ASML採用良好公司治理的最高標準;以及·簡單--政策及其執行儘可能簡單,所有利益攸關方都很容易理解。參考羣體和市場定位與所有ASML員工的薪酬理念類似,我們向管理委員會提供與相關勞動力市場相比具有競爭力的薪酬方案。這個市場是通過創建一個在規模和複雜性、數據透明度和數據透明度方面可與ASML媲美的公司參考集團來定義的


ASML 2021年年度報告163地理區域。只要ASML在公司規模(以企業價值、收入和僱員人數衡量)和複雜性方面定位在參照組的中位數附近,中位數市場水平就可以作為確定管理委員會薪酬水平的參考點。原則上,每兩年進行一次基準測試。為了確保相關勞動力市場的適當組成,監事會在進行基準時審查參考小組的組成。將向股東建議對參照組的組成進行重大修改。在沒有市場評估的年度,監事會根據市場環境以及其他ASML員工的工資調整來考慮基本工資的任何變化是否適當。2020年,我們審查了參照組,並執行了薪酬基準。參考小組(由20家公司組成)自2018年以來沒有變化,而ASML則有了相當大的增長。2020年參考小組審查的結果是,由於ASML的發展,一家參考公司Smith&Nephew PLC與ASML相比變得太小,因此被取消。另外兩家公司夏爾公司(Shire PLC)和林德股份公司(Linde AG)被撤職,因為它們被歐洲以外的公司收購或合併,因此不再有資格成為參考公司。為了保持參照組的規模大致相同,參照組增加了兩家新公司:恩智浦半導體,它是ASML的行業同行;愛立信,其平均規模大於ASML,因此使ASML在規模上更接近參照組的中位數。在修訂的參考組中,ASML的規模(基於2019年數據)最終略高於中位數(第55個百分位數)。對參照小組和相應基準的2020年審查是對管理委員會2021年薪酬政策進行調整的基礎。目前參考集團組成阿克蘇諾貝爾Legrand Alstom Leonardo-Finmeccanica大陸諾基亞Covestro恩智浦半導體DSM飛利浦愛立信SAP Essilux(前Essilor)迅達Evonik Solvay Givaudan Yara International Infineon Technologies總直接薪酬薪酬水平採用直接薪酬總額確定。直接薪酬總額包括固定基本工資和短期激勵和長期激勵形式的可變薪酬。其他薪酬要素是養卹金和費用償還。可變薪酬業績參數由監事會制定,包括財務和質量措施,以便在各種公司目標之間實現最佳平衡,無論是短期目標還是長期目標。通過這樣做,確保可變薪酬有助於ASML的戰略、長期利益和可持續性。如果任何一年的戰略優先事項發生變化,監事會可根據《2021年管理委員會薪酬政策》中概述的規則和原則,調整業績計量及其在可變收入中的相對權重。監事會可運用其酌情決定權,向上或向下調整獎勵支出(“最後通牒”)。作為2021年年度股東大會核準的《管理委員會薪酬政策》修訂的一部分,對目標直接薪酬總額進行了調整,使其更接近修訂後的參考小組的直接薪酬總額中值水平。為此,將長期獎勵的目標水平從110%(主席)或100%(管理委員會其他成員)提高到管理委員會所有成員的120%。下表列出了在實現目標業績的情況下,管理委員會浮動薪酬佔基本工資的百分比。可變薪酬浮動薪酬佔基本工資的百分比短期激勵80%長期激勵120%合計200%


2021年ASML年度報告164 2021年薪酬政策管理委員會摘要2021年管理委員會薪酬政策的要素及其與ASML戰略的聯繫概述如下。S在ION Pol y Component的工作內容鏈接到公司戰略政策摘要基本工資(綜合現金薪酬)為管理委員會吸引、激勵和留住合格的行業專業人員,以降低和實現戰略目標。·來自全部直接薪酬·由監事會股份所有權指導方針確定的管理委員會成員最低股份擁有量要求。確保管理委員會成員的利益與ASML的長期價值創造保持一致。·總裁3倍的年度基本工資,其他董事會成員2倍的年度基本工資·新成員的3年期限·監事會有權在特殊情況下允許臨時偏離·任何短缺將通過下一次授予股份和其他薪酬來彌補·基於荷蘭ASML員工的“額外”(補充)安排的養老金安排--繳費計劃·費用補償,如公司汽車費用、差旅費用、代表津貼、住房費用(税前毛額)、社會保障費用,健康和傷殘保險費用有助於提高整體薪酬方案的競爭力,並與市場慣例保持一致。長期激勵(LTI)·與有資格獲得績效股票的ASML員工的LTI保持一致-通過使用相同的績效衡量標準,利用平衡ASML投資者的直接利益、ASML的長期財務成功、技術進步的長期持續以及可持續性的環境和社會層面的績效衡量標準,為ASML的戰略、長期利益和可持續性做出貢獻。(基於長期績效的股權激勵)·目標水平:基本工資的120%·績效衡量標準(每年設定,3年內評估)ROAIC總股東回報(TSR)與指數技術領先指數可持續性權重40%30%20%10%·支付水平TSR與指數(TSR ASML-TSR PHLX指數(X.SOX))大於或等於20%0至20%-20至0%小於或等於目標的-20%200%100-200%50-100%0%·支付水平ROAIC,技術領先指數,可持續性最大目標閾值以下閾值和目標之間的線性支付,以及目標與目標的最大百分比之間200%100%50%0%目標150%100%50%0%短期激勵(STI)·目標水平:基本工資的80%·績效指標(原則上設定並每年評估)·支付水平·與STI保持一致適用於ASML員工(荷蘭員工受CLA約束,並有自己的保護共享計劃)定性:技術領先指數定性:市場地位財務指標,同等權重,原則上從預選列表中選擇:閲讀更多:https://www.asml.com/rempolicy_born權重20%20%60%門檻以下最大目標門檻和目標之間以及目標和最大門檻之間確保平衡地關注阿斯利康的短期(財務)表現,以及在技術進步和客户滿意度方面的可持續公司未來,推動長期成功。(短期業績相關現金激勵)


ASML 2021年年度報告165管理委員會2021年的薪酬管理委員會2021年財政年度的薪酬是執行和遵守2021年管理委員會薪酬政策,詳情如下。因此,管理委員會2021年的薪酬有助於實現《2021年管理委員會薪酬政策》的目標,並因此促進了本組織旨在創造長期價值的戰略。對可變薪酬構成部分的可能結果及其對管理委員會薪酬的影響進行了情景分析。基薪管理委員會成員的基薪於2021年初確定。監事會決定,與2020年的水平相比,2021年的基本工資不會增加。維持基本工資水平不變的原因是2021年修訂了薪酬政策,其中包括提高長期獎勵的目標水平,從而增加直接薪酬總額。關於2021年的基本工資水平,請參閲管理委員會總薪酬一節。短期激勵科技創新的財務和非財務目標水平是根據《2021年管理委員會薪酬政策》並考慮到2021年年度計劃(預測)在2021年財政年度開始時確定的。對於STI,2021年應用了以下定性績效指標:·市場地位,衡量ASML在市場上的表現,不僅是市場份額,還包括客户滿意度和質量。市場地位指標由幾個子指標組成。對於應用和DUV業務,設定了市場份額目標。這些目標涉及ASML面臨激烈競爭的某些應用和DUV市場。對於EUV,沒有設定市場份額目標,因為ASML是EUV技術的唯一供應商。相反,使用了與NXE:3400工具的可用性相關的目標,因為可用性是反映我們在客户現場的工具性能質量的關鍵指標,因此監事會認為它是衡量客户滿意度的適當指標。總體客户滿意度也是市場地位指標的一部分,並使用外部基準:VLSI調查進行衡量。應用程序和DUV市場份額指標以及EUV可用性指標合計佔市場地位指標總權重的50%。VLSI的調查結果佔市場頭寸目標的剩餘50%。·技術領先指數,一套與ASML的產品和技術路線圖相關的內部目標。因此,它衡量ASML在相關績效期間取得的技術進步,支持我們推動創新的努力,從而幫助我們的客户實現目標並實現新技術和應用。2021年技術領先指數由應用、DUV和EUV領域的17個關鍵項目組成。例如,這些項目涉及檢查和計量系統的改進、以每天晶圓數表示的製造能力、降低成本的部件通用性、(EUV)光源的功率等。由於從競爭和戰略的角度來看,這將對公司及其利益相關者不利,因此沒有披露包括在技術領導力指數中的關鍵項目的確切細節。為了計算技術領導力指數績效,每個項目的得分在1到10之間;總體技術領導力指數得分是17個個人得分的平均值。STI和LTI都使用技術領導力指數作為定性的績效衡量標準。兩者的目標是相同的,但適用的措施、目標和業績週期不同,並與具體的短期和長期戰略優先事項保持一致。除了技術領先指數和市場地位業績指標外,2021年STI還選擇了三項財務業績指標。根據ASML在2021年的業務挑戰和情況,監事會從包括在2021年管理委員會薪酬政策中的預定義清單中選擇了以下三項財務指標:·息税前利潤%,以淨銷售額總額的百分比衡量運營收入·EUV毛利率%,以EUV總淨銷售額的百分比衡量毛利潤·自由現金流,衡量經營活動減去購買物業、廠房和設備以及購買無形資產後的現金流量。在業績期間結束後,監事會與相關的小組委員會、技術委員會、審計委員會和薪酬委員會合作,對照目標評估了所實現的業績。下表列出了STI業績標準的目標和實際實現水平,但符合商業或戰略敏感信息的數字除外,這些數字是與可獲得性和市場份額相關的市場地位指標要素有關的數字。監事會認為披露這一信息不符合反洗錢法及其利益攸關方的利益。考慮到透明度,我們以目標百分比的形式報告這些指標的績效。


ASML年度報告2021年166業績指標業績目標2實際結果支出4權重閾值目標伸展%目標市場地位可用性和市場份額10%*122.5%超大規模集成電路調查10%前5名前3名前2名150.0%總市場地位20%136.3%技術領先指數20%4 6 10 8 125.0%息税前利潤(%)3 20%24%27%30%36%150.0%EUV毛利率%20%43.5%45.5%47.5%46%111.3%自由現金流(歐元,120%1,000,000,000 8,158 150.0%總計100%134.5%1.自由現金流目標水平和實際情況不包括本財政年度從2021年沒有合同付款義務的客户那裏收到的早期付款。實際結果自由現金流(非GAAP衡量標準)的計算方法是經營活動產生的現金流量108.46億歐元減去購買物業、廠房和設備9.01億歐元,減去無形資產購買量3960萬歐元,減去本財政年度從沒有合同付款義務的客户那裏收到的前期付款17.47億歐元,實際結果為81.58億歐元。2.由於戰略或商業敏感性,某些業績目標(*)未予披露。3.實際結果息税前利潤%(非公認會計準則衡量),計算方法為營業收入67.5億歐元除以總淨銷售額186.11億歐元,實際結果為36%。4.支出百分比是根據《2021年薪酬政策管理委員會摘要》一節所列的支出水平計算的。當前管理委員會的科學、技術和創新成果總額為440萬歐元,相當於134.5%目標的百分比。息税前利潤達到36%的實際業績結果主要是由於銷售額和盈利能力的增加。我們的EUV和DUV沉浸系統的盈利能力增加,因為我們為客户提供了更多價值。我們安裝基礎業務的盈利能力的提高是通過產量和規模經濟的提升。自由現金流的實際業績結果為81.58億歐元,主要是由於我們的客户淨收入增加和首付增加,經營活動提供了強勁的淨現金。為了長期激勵LTI,根據2021年管理委員會薪酬政策,以下業績指標適用:·總股東回報與指數,衡量ASML股價的相對變化,加上在相關業績期間支付的股息。ASML的總股東回報率與PHLX半導體行業指數進行了比較,PHLX半導體行業指數是一個納斯達克指數,旨在跟蹤一系列從事半導體設計、分銷、製造和銷售的公司的業績。·平均投資資本回報率(ROAIC),衡量ASML投入運營的資本回報率,而不考慮我們的資本結構。它被用作衡量公司價值創造的基本指標。ROAIC的計算方法是將所得税後的收入除以平均投資資本。·技術領先指數,這是一種定性衡量標準,也適用於科技創新。關於技術領導力指數的定義以及它如何對公司戰略做出貢獻的解釋,請參考短期激勵一節。作為LTI衡量指標的技術領導力指數比STI的同類指標更具前瞻性。它包括未來三年、兩年和來年要實現的目標。每年都會為未來三年確定新的目標。今後兩年的目標是基於前一年的目標(當時提前三年)和分數的修正係數(向上或向下),這取決於目標似乎更容易實現還是更難實現。隨後的幾年也採用了同樣的辦法。技術領導力指數在三年績效期間的總分是三年的平均分,包括對每一年的分數應用的相關修正係數。·可持續性,這是一種定性的衡量標準,通過將道瓊斯可持續發展指數(DJSI)的年度綜合結果與半導體行業的最佳業績進行比較,來確定我們在可持續發展領域的表現。DJSI評估是一項綜合評估,衡量我們在20多個ESG方面的表現。它使我們能夠與我們的行業同行一起對我們公司在更廣泛的ESG領域的表現進行基準測試,並推動不斷改進。基礎是我們的2019-2025年可持續發展戰略,其中包含一套16項關鍵績效指標和目標,我們通過全面的重要性評估和利益相關者持續參與的投入來定義這些指標和目標。更多內容請閲讀:非財務報表-重要性評估。


ASML年度報告2021年167歸屬LTI 2019-2021年在2019-2021年三年績效期間結束後,監事會與技術委員會、審計委員會和薪酬委員會合作,對照LTI目標評估了所實現的業績。LTI業績標準的目標和實際實現水平列於下表。監事會對與2019-2021年LTI計劃的ROAIC業績指標相關的支出進行了調整,以使業績指標與2021-2023年計劃和先前調整的2018-2020年計劃使用的指標保持一致。這項調整導致業績指標ROAIC的派息為193.3%,而未經調整的伸展業績(200%)則為200%,因此對2019年至2021年LTI計劃的結果不利。這一調整沒有遞增的會計影響,因為費用是根據最大伸展業績確認的。下表列出了2019-2021年LTI業績標準的目標和實現水平。績效指標績效目標實際績效支出%2權重閾值目標超出擴展相對TSR 30%(20)%0%n/a 20%161.1%200.0 ROAIC 1 40%27.0%29.5%32.0%34.5%34.2%193.3%技術領先指標20%4 6 8 10 8.3 157.5%可持續性10%≤16%≤13%n/a≤7%12.1%115.2%總計100%180.3%1。實際績效得分ROAIC為34.2%是標準化分數。ROAIC的計算方法是將所得税後的收入除以平均投資資本。2.支出百分比是根據《2021年薪酬政策管理委員會摘要》一節所列的支出水平計算的。3.實際績效總分為180.3%,是根據各項績效指標的權重乘以支出百分比得出的。LTI的總結果導致目標的180.3%的股份歸屬。2021年初,有條件地為2021年業績計劃向管理委員會現任成員授予28,354股業績股票。這些有條件的贈款是基於可實現的最大機會。與LTI績效衡量ROAIC、技術領先指數和可持續發展相關的目標水平於2021年初為2021-2023年的績效時期設定。這樣做時考慮到了長期產品路線圖、可持續發展目標和長期財務計劃,從而確保各種目標與ASML的長期戰略優先事項保持一致,並鼓勵注重長期價值創造的行為。在2021年的其他薪酬中,管理委員會成員參與了管理委員會的養老金安排,該安排基於我們在荷蘭的員工的‘額外’(補充)安排,這是荷蘭財政法規定義的固定繳款機會。它由總養卹金部分(工資低於約112,000歐元)和淨養卹金部分(工資超過112,000歐元)組成。由於荷蘭以外的國家對此有不同的税收處理,一些成員選擇不計入養卹金淨額。與2021年實施養卹金安排有關的已發生會計費用的詳細情況見管理委員會薪酬總額表。ASML在2021年報銷的費用包括公司汽車費用、代表津貼、社會保障費用以及健康和殘疾保險費用。


ASML年度報告2021年168股權指導方針下表顯示了截至2021年12月31日每個管理委員會成員的股權要求、已發行既得股數量和股權比例。BOM成員所有權要求2021年基本工資(以千歐元為單位)温林克3x基數1,020 32,485 22.5 M.A.範·登布林克3x基數1,020 13,066 9.1 F.J.M.施耐德-莫努裏2x基數694 17,506 17.8 R.J.M.達森2 2x基數694 1,613 1.6 C.D.福奎2x基數694 3,488 3.6 1。所有權比率的計算方法為:將總既得股份數乘以股價706.70歐元(以2021年12月31日的收盤價為基礎),再除以基本工資。2.截至2021年12月31日,RJ.M.Dassen的所有權比例低於內部所有權要求。薪酬委員會決定在2021年12月31日對股份所有權指引的合規性進行評估時,考慮2022年1月的股份歸屬。由於2019-2021年計劃於2022年1月1日歸屬,這導致歸屬股份總數遠遠超過所有權要求。管理委員會的總薪酬管理委員會成員的薪酬以2021年發生的會計費用為基礎,2020年和2019年:管理委員會財務年度基本工資養老金其他福利總固定百分比固定STI LTI總可變百分比可變總薪酬相對比例固定與可變P.T.F.M.2021 1,020 206 57 1,283 26.6%1,098 2,439 3,537 73.4%4,820 0.36 2020 1,020 216 57 1,293 28.3%1,135 2,136 3,271 71.7%4,564 0.40 2019 1,000 207 53 1,260 28.9%1,070 2,031 3,101 71.1%4,361 0.41 M.A.範·登布林克2021 1,020 206 56 1,282 26.6%1,098 2,439 3,537 73.4%4,819 0.36 2020 1,135 2,136 3,271 71.7%4,564 0.40 2019 1,207 52 1,259 28.9%1,070 2,031 3,101 71.1%4,360 0.41 F.J.M.施耐德-莫努裏2021 694 115 36 845 26.8%747 1,566 2,313 73.2%3,158 0.37 2020 694 122 36 852 29.1%773 1,302,075 70.9%2,927 0.41 2019 680 114 824 30.3%728 1,172 1,900 69.7%2,724 0.43 R.J.M.達森2021 694 115 51 860 22.6%747 2,193 2,940 77.4%3,800 0.29 2020 694 100 51 845 22.2%773 2,186 2,959 77.8%3,804 0.29 2019 680 93 47 820 27.7%728 1,408 2,136 72.3%2,956 0.38 C.D.傅奎2021 678 52 824 26.3%747 1,566 2,313 73.7%3,137 0.36 2020 694 83 51 828.8%773 1,374 2,72.2%2,975 0.39 2019年680 74 47 801 36.4%728 674 1,402 63.6%2,203 0.57董事會總數2021 4,122 720 252 5,094 25.8%4,437 10,203 14,640 74.2%19,734 0.35 2020 4,122 737 252 5,111 27.1%4,589 9,134 13,723 72.9%18,834 0.37 2019 4,040 695 229 4,964 29.9%4,324 7,316 11,640 70.1%16,604 0.43薪酬乃根據歐盟國際財務報告準則(股份獎勵)呈報。股份獎勵的成本在三年歸屬期間內按預期授予非市場基礎要素的獎勵數量計入綜合經營報表。在頭兩年,我們採用可實現的最大股票獎勵數量,並在獎勵的最後業績年度,將非市場業績條件的估計更新為預期授予的最佳估計金額。基於可實現股份獎勵數目的最佳估計的金額與基於歸屬的實際股份獎勵數量的金額之間的任何差額,在股份獎勵歸屬的財政年度的綜合經營報表中計入。以市場為基礎的要素按目標核算。前管理委員會成員F.J.範·霍特自2021年從公司退休以來,不再是管理委員會的成員。前管理委員會財政年度基本薪金退休金其他福利固定百分比固定薪酬總變動百分比變動薪酬相對比例1 2021 231 47 16 294 11.4%243 2,036 2,279 88.6%2,573 0.13 2020 694 122 47 863 29.4%773 1,302 2,075 70.6%2,938 0.42 2019 680 44 838 30.6%728 1,172 1,900 69.4%2,738 0.44 1.範胡特2021年薪酬總額不包括估計金額8歐元。8百萬歐元,用於支付本公司根據荷蘭工資税法第32bb條應向荷蘭税務機關繳納的解僱福利税款。


ASML 2021年年度報告169範·胡特先生的2021年STI是根據2021年提供的服務天數按比例計算的。Van Hout先生將繼續享有根據LTI計劃於2018年、2019年及2020年授予的業績股份,該等股份將根據授出函件所述的相關業績標準授予。2021-2023年LTI計劃的贈款根據2021年提供的服務天數按比例分配。正在運行的LTI計劃的所有LTI費用在2021年入賬,因為在2021年服務期結束後不提供任何服務。披露的薪酬總額不包括估計金額880萬歐元,以計入本公司根據荷蘭工資税法第32bb條應向荷蘭税務機關繳納的解僱福利税款。2021年財政年度,範·胡特先生的薪酬支出總額為1140萬歐元,其中包括這項税收。基於股份的薪酬基於業績的基於股份的薪酬管理委員會現任成員的披露如下表所示。基於市場的要素非基於市場的要素管理委員會授予日期狀態授予日按目標公允價值計算的股份數量授予日按目標公允價值計算的股份總數授予日的目標股份總數最大股份數(200%)歸屬日期的股份數歸屬年度的股票年終價格鎖定終止日期P.T.F.M.Wennink 1/22/21條件編號1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/a 1/1/26 1/24條件編號1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19無條件編號2,217 245.4 5,173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/24 1/19/18無條件編號1,958 215.1 4,570 162.8 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17無條件編號3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/122 M.A.範登布林克1/22/21條件編號1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/20條件編號1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19無條件編號2,217 245.4 5 173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/1/24 1/19/18無條件編號1,958 215.1 4,570 1628 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17無條件編號3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/1/22 F.J.M.施耐德-莫努裏1/22/21條件編號717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a n/a 1/1/26 1/24/20條件編號858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a 11/25 7/19/19無條件編號1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18無條件編號1,125 215.1 2,626 162.8 3,751 7,502 1/19/21 5,496 439.9 1/19/23 1/20/17無條件編號1,745 145.4 4,070 110.5 5,815 11,629 1/1/20 9,613 263.7 1/1/22 R.J.M.達森1/22 635.6 1,670 454.9 2,3874,774 1/1/26 1/24不適用條件編號858 286.9 2,001 263.7 2,859 5,718 1/23不適用條件編號1,371 245.4 3,198 194.4 4,569 9,137 1/22 8,239 706.7 1/1/24 1/25/19無條件編號3,000 169.0 7,000 148.3 20,000 1/22 18,032 706.7 1/24 7/20/18無條件編號657274.6 1,531 185.0 2,188 4,376 1/19/21 3,207 439.9 1/19/23 C.D.傅奎1/22/21條件編號717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a 1/1/26 1/24 n/20條件編號858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a n/a 1/1/25 7/19/19無條件編號1,371 245.4 3,198 194.4 4,569 9,137 1/22 8,239 706.71/1/24 7/20/18無條件否844 274.6 1,969 185.0 2,813 5,626 1/19/21 4,122 439.9 1/19/23管理委員會前成員以業績為基礎的薪酬披露於下表。以市場為基礎的要素非以市場為基礎的要素前管理委員會授予日期狀態授予日完全控制按目標公允價值計算的股份數量授予日按目標公允價值計算的股份總數最大股份(200%)歸屬日期股份數歸屬年度的股票年終價格鎖定終止日期F.J.van Hout 1/22/21條件編號239 635.6 557 454.9 796 1,592 1/1/24 n/a n/a 1/1/26 1/24/20條件編號858286.9 2,001 263.7 2,859 5,718 1/25 7/19/19無條件編號1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18無條件編號1,125 215.1 2,626 162.8 3,751 7,501 1/19/21 5,496 439.9 1/19/23 1/20/17無條件編號1,745 145.4 4,070 110.5 5,815 11,629 1/20 9,613 263.7 1/1/22授予股份的標準和主要條件關於向管理委員會每位成員授予績效股份的原因和標準,請參閲本薪酬報告中概述的2021年管理委員會薪酬政策和管理委員會2021年薪酬-長期激勵一節。


ASML年度報告2021年170適用於2021年業績股票的主要條件如下。這些規定適用於管理委員會的每一位成員。工具:績效股份授予:基於最大可實現機會的年度有條件授予。有條件獎勵的業績股票數量是根據有條件獎勵前一年最後一個季度的成交量加權平均股價計算的。授予日期:ASML年度業績公佈後兩天,為期三年的業績期間開始的年份的1月:三年,從授予歸屬年度的1月1日開始:股份將在三年業績期限結束後的一年內無條件持有,具體取決於預定業績目標的實現程度禁售期:最短持有期為歸屬日期後兩年。合同終止後,轉讓限制將在持有期內繼續有效,除非死亡。如果管理委員會成員就收回的可變收入支付税款,則績效股票可根據法律和內部法規在歸屬時部分出售(“出售至覆蓋”)。記賬薪酬與公司業績的關係下表概述了過去五年記賬薪酬與公司業績之間的關係:截至12月31日的年度(歐元,淨銷售額8,962,658 10,944,016 11,820,001 13,978,452 18,610,994基於美國GAAP 2,066,679 2,591,614 2,592,252 3,553,670 5,883,177基於歐盟-IFRS 2,173,400 2,525,515 2,581,107 3,696,813 6,134,895 ASML股價(以歐元為單位)145.2 137.2 263.7 397.6 706.7全職員工15,136 18,204 22,192 24,727 28,223薪酬P.T.M.Wennink(首席執行官)3,455,433,34,564 4,820薪酬3,433,34,5642,956 3,804 3,800薪酬F.J.範·胡特2,276 2,177 2,738 2,938 2,573薪酬C.D.福奎-1,125 2,203 2,975 3,137薪酬F.J.M.施耐德-毛努裏2,260 2,169 2,724 2,927 3,158每FTE 2 117 115 114 120 122內部薪酬比率(首席執行官與員工薪酬)2 30 30 38 38 40 1.達森和C.D.福奎的薪酬在2018年較低,因為他們在2018年被任命為管理委員會成員。2.內部薪酬比率的計算方法在CEO與平均薪酬(薪酬比率)的關係一節中披露。我們根據監督委員會《荷蘭公司治理準則》關於2021年生效的《荷蘭公司治理準則》3.4.1.iv節的2020年12月指南修訂了我們的內部薪酬比率的計算方法。因此,內部薪酬比率的相對歷史數字已被重述,以將社會保障費用包括在內部薪酬比率數字中。在計算中,我們只考慮了薪資僱員,因為這確保了與合併財務報表中披露的數字一致。如果我們將臨時工納入其中,這一比例將會較低,因為他們的平均薪酬較高。解釋公司業績與薪酬的關係上表旨在洞察公司過去五年的業績和薪酬的發展。銷售額、淨收入和股價是用來衡量公司業績的,因為它們是代表ASML總體業績的關鍵指標,而且考慮到與其他公司的可比性。該公司在過去幾年中取得了顯著的增長,這不僅體現在員工數量上,也體現在收入方面。自2017年以來,淨銷售額增長了107%。該公司同期的業績也大幅增長,例如反映在淨收益(根據歐盟國際財務報告準則自2017年以來增長185%)和ASML股價(增長387%)上。如表所示,與同期薪酬的發展相比,該公司在過去五年的業績有了更顯著的改善。公司的增長導致2019年和2021年管理層薪酬政策的修訂,導致更高的基本工資以及更高的STI(目標)和LTI(目標)水平。實際薪酬可能每年波動,這取決於任何一年的實際STI支付,以及任何一年業績股票(LTI)的歸屬和當時的股價。


ASML 2021年年度報告171首席執行官與平均薪酬之間的關係(薪酬比率)2021年內部薪酬比率1(首席執行官與員工薪酬)增加到40:1(2020年38:1),這是由於2021年執行的政策變化,增加了薪酬。ASML打算向公司內所有職位級別的員工提供有競爭力的薪酬。在每一級,薪酬應反映角色的責任。因此,從一個級別到另一個級別的薪酬積累應該是循序漸進的,並與不斷增加的責任相一致,也應遵循市場慣例。在最高層,隨着責任最終從部門層面上升到整個公司層面,步驟逐漸變得更大。監事會認為,考慮到公司目前的規模和組織結構,目前的組建和40:1的總體薪酬比率是公平的。1.這一比率包括首席執行官2021年期間的總薪酬(包括所有薪酬組成部分)4,820,000歐元,與所有僱員的平均薪酬相比。所有僱員的平均薪酬是用全時當值平均工資僱員數(工資和薪金+社會保障費用+養老金和退休費用+股份支付)/平均工資僱員數=34.392億歐元/28,223歐元=12.2萬歐元計算的。這一比率尚未準備好符合美國證券交易委員會規定的薪酬比率披露要求。薪酬監事會在薪酬報告的這一部分,我們概述了2021年4月29日股東大會通過並於2021年4月1日生效的監事會2021年薪酬政策。2020年4月22日股東大會通過的監事會薪酬政策適用於2020年薪酬報告披露的2021年頭幾個月。它還提供了監事會2021年薪酬政策執行情況和監事會成員2021年實際薪酬的詳細情況。2021年監事會薪酬政策可以在我們網站的治理部分找到。薪酬政策薪酬目標和原則2021年監事會薪酬政策旨在使ASML能夠吸引和留住合格的監事會成員,這些成員共同組成一個多元化和平衡的監事會,具有適當水平的技能、能力和經驗,以適當監督(執行)ASML的戰略,該戰略的重點是為所有利益攸關方創造長期價值。2021年監事會薪酬政策建立在以下原則的基礎上:·透明--薪酬政策及其執行明確而實用·一致--薪酬政策以市場慣例為基準·合規--ASML採用良好公司治理的最高標準·簡單--薪酬政策及其執行儘可能簡單,所有利益攸關方都容易理解·公平--薪酬應反映監事會成員所花費的時間和職責·獨立性--監事會成員的薪酬不得取決於公司的結果。參考羣體和市場定位監事會的薪酬與相關參考市場相比應具有競爭力。這個市場是使用一個參考公司集團來定義的,該公司集團的兩級董事會結構包括在阿姆斯特丹泛歐交易所指數中。為了確定在這一羣體中的定位,企業價值、收入和員工數量都被考慮在內。


ASML 2021年年度報告172薪酬政策監事會摘要下表概述和描述了監事會2021年薪酬政策的要素。該表包括在2021年年度股東大會上批准的薪酬政策修訂所產生的經修訂的監事會和委員會成員費用。組件描述值固定薪酬費用基本會員費與出席會議有關的費用將得到報銷。此外,還支付固定淨成本津貼,用於支付某些預付的自付費用,具體取決於費用水平不以報酬方式授予股份(權利)。任何出於長期投資目的而持有的ASML股票。任何交易活動均須遵守ASML的內幕交易規則不適用、不適用(重新)任命的荷蘭法律和ASML的公司章程。沒有追回、遣散費或控制權變更安排的額外津貼洲際會議貸款和擔保股份和股份所有權額外安排,與洲際旅行的額外時間承諾有關的額外津貼每次涉及洲際旅行的會議5,000歐元不適用監事會主席監事會成員價值1,980歐元1,380監事會主席監事會副主席監事會主席監事會主席審計委員會成員審計委員會主席其他委員會成員價值130,000歐元94,000歐元75,000歐元25,500歐元18,000歐元20,000歐元14,500 S根據已發生的費用計算,2021年監事會成員的薪酬概況(會費2021年委員會費用2021年津貼20211固定比例2021年薪酬總額2021年薪酬總額2019年薪酬總額2019年薪酬總額2018年薪酬總額125 51 2 100:0 178 157 138 135 ARIS 87 39 1 100:0 127 95 98 80 B.M.CONIX 50 12 1 100:0 63-T.L.凱利74 27 6 100:0 107 88 101 60-R.D.施瓦布74 38 1 100:0 113 104 101 88 86 J.M.C.斯托克74 28 11 100:0 113 100 118 100 100總計632 239 35 100:0 906 660 572 466 401 1.津貼包括固定開支津貼和洲際會議津貼。


ASML 2021年年度報告173在過去五年中,沒有向現任和前任監事會成員發放浮動工資。根據《2021年監事會薪酬政策》規定的薪酬原則,監事會的薪酬與ASML的業績沒有直接聯繫。薪酬前監事會2021年、2020年和2019年授予前監事會成員的薪酬概覽(金額以千歐元為單位):會費2021年委員會費用2021年津貼20211固定比例與可變2021年總薪酬2021年總薪酬2019年D.A.增長26 10-100:0 36 117 133 C.M.S.斯密茨23 8-100:0 31 95 91 W.H.齊巴特-30 101總計49 18-67 242 325 1.津貼包括固定費用津貼和洲際會議津貼。其他資料總薪酬管理委員會和監事會成員,包括前成員,在2021年的年度薪酬總額為2,320萬歐元(2020年:2,260萬歐元)。其他安排由於ASML Holding N.V.直接支付管理委員會和監事會的所有成員,因此其財務狀況由ASML合併的子公司或其他公司未授予和分配任何薪酬。管理委員會或監事會成員未獲得任何(個人)貸款,也未向管理委員會和監事會的任何成員提供擔保或類似的擔保。2021年沒有向管理委員會和監事會成員發放遣散費,也沒有追回浮動薪酬。2021年沒有偏離執行2021年管理委員會和監事會薪酬政策的決策進程,也沒有暫時偏離2021年薪酬政策。在2021年年度股東大會上,管理委員會的2021年薪酬政策以93.86%的贊成票獲得通過。2021年監事會薪酬政策也在2021年年度股東大會上以98.90%的多數投票贊成該提案。2020財政年度薪酬報告已提交給2021年年度股東大會進行諮詢投票。85.07%的人投了贊成票。在薪酬委員會主席在本薪酬報告開頭的信息中,我們討論了我們如何對收到的關於管理層薪酬的反饋做出迴應。這份薪酬報告將提交給2022年年度股東大會,以根據荷蘭法律進行諮詢表決,同時還將提交一份修訂《2021年管理委員會薪酬政策》的提案,詳情請參閲《展望2022年》一節。


ASML 2021年年度報告174董事責任聲明


ASML年度報告2021年175管理委員會聲明,據其所知,根據歐盟-國際財務報告準則和《荷蘭民法典》第二冊第9部分編制的財務報表真實而公允地反映了ASML Holding N.V.和合並中所包含的業務的資產、負債、財務狀況和損益,年度報告包括根據財務狀況報表日期對ASML Holding N.V.的狀況、ASML Holding N.V.的發展和業績以及合併中所包括的業務進行的公平審查,以及他們面臨的主要風險和不確定性。根據荷蘭企業管治守則,管理委員會特此聲明,就其所知及所信,根據目前情況,財務報告以持續經營為基礎編制是合理的,而年報披露與預期ASML於本年報刊發日期後12個月期間的持續有關的所有重大風險及不確定因素。在作為ASML Holding N.V.管理委員會的控制聲明中,我們特此聲明,我們負責ASML內部風險管理和控制系統的設計、實施和運營。這些系統的目的是充分和有效地管理ASML面臨的重大風險。這樣的系統永遠不能為實現公司目標提供絕對保證,也不能絕對保證不會發生重大錯誤、損失、欺詐和違反法律或法規的情況。我們認識到內部控制和風險管理系統的重要性。此外,根據2002年《薩班斯-奧克斯利法案》第404條,ASML需要適當地建立和維持對財務報告的內部控制。在ASML首席執行官和首席財務官的監督下,ASML管理層根據特雷德韋委員會贊助組織委員會發布的《內部控制-綜合框架》(2013)框架,對ASML財務報告內部控制的有效性進行年度評估。年度評估結果、定期更新以及重大變化和改進定期向ASML審計委員會和外聘審計員報告並與之討論。審計委員會定期向監事會報告這些問題。此外,管理委員會每年一次與審計委員會和全體監事會討論這一內部控制框架的有效性。我們的內部控制和風險管理系統的建立是基於識別可能影響我們的運營和財務目標的外部和內部風險因素,幷包含一套監測、報告和運營審查制度。所有重大風險管理活動都與審計委員會和監事會進行了討論。有關我們的風險管理活動以及我們的內部控制和風險管理系統的更多信息,請參閲我們在2021年的表現--治理--我們如何管理風險。關於我們的風險因素的摘要,我們參考我們在2021年的表現--治理--風險因素。摘要根據上述措施的結果,並盡其所知和所信,管理委員會指出:1.上述措施提供了合理保證,即財務報表沒有任何重大錯誤。2.年度報告對內部風險管理和控制系統中出現的任何重大缺陷提供了充分的見解。在2021年財政年度,沒有發現這種重要的缺陷。2022年2月9日,管理委員會,Peter T.F.M.Wennink,首席執行官兼管理委員會主席總裁,首席技術官兼管理委員會副主席Roger J.M.Dassen,執行副總裁總裁兼首席財務官Christophe D.Fucket,執行副總裁總裁,EUV Frédéric J.M.Schneider-Maunoury,執行副總裁總裁,首席運營官Veldhoven


ASML年度報告2021年176合併財務報表


ASML年度報告2021年177綜合損益表截至12月31日的年度(歐元,百萬歐元,不包括每股數據)附註2019 2020 2021系統淨銷售額2 8,996.2 10,316.6 13,652.8淨服務和現場選項銷售額2,823.8 3,661.9 4,958.2總淨銷售額2,3 11,820.0 13,978.5 18,611.0系統銷售成本(5,055.9)(5,597.9)(6,874.5)服務和現場選件銷售成本(1,864.0)(2,012.0)(2,319.1)銷售總成本(6,919.9)(7,609.9)(9,193.6)毛利潤4,900.1 6,368.6,417.4研發成本(1,662.9)(1,579.9)(1,861.6)銷售,一般和行政成本(520.5)(544.9)(725.6)其他收入10--213.7營業收入2,716.7 4,243.8 7,043.9財務收入11.6 8.4 10.0財務成本16(36.6)(43.3)(54.6)所得税前收入2,691.7 4,208.9 6,999.3所得税支出21(128.8)(600.7)(1,063.8)所得税後收入2,562.9 3,608.2 5,9355聯營公司投資利潤9 18.2 88.6 199.1淨收益2,581.1 3,696.8 6,134.6每股普通股基本淨收益23 6.13 8.84 14.97稀釋每股淨收益23 6.12 8.82 14.95計算每股收益時使用的普通股數量基本23 420.8 418.3 409.8稀釋23 421.6 419.1 410.4


ASML年度報告2021年178截至12月31日的綜合全面收益表(歐元,百萬)附註2019 2020 2021淨收入2,581.1 3,696.8 6,134.6其他全面收入:從聯營公司獲得的其他全面收入的比例(19.8)(1.3)22.0外幣換算淨額:外幣換算損益和套期保值的有效部分22 20.3(75.1)95.4金融工具税後淨額:衍生金融工具的損益22,25 3.2(21.0)16.6轉至淨收益22,25(10.7)(2.3)22.2其他全面收入,税後淨額1(7)(99.7)156.2總全面收入,扣除税後淨額2,574.1 3,597.1 6,290.8可歸因於股權持有人2,574.1 3,597.1 6,290.8 1.截至2021年12月31日,累計其他全面收入中的所有項目,包括我們從聯營公司獲得的其他全面收入中虧損490萬歐元的累積比例份額(2020年:2,690萬歐元;對衝準備金餘額為1,650萬歐元收益(2020年:虧損2,230萬歐元;2019年:收益100萬歐元)和貨幣換算準備金餘額1.507億歐元收益(2020年:5,740萬歐元收益;2019年:1.299億歐元收益),將在滿足特定條件時重新分類為損益。


應收賬款淨額6 400.5 383.0遞延税項淨資產21 807.4 1 337.6其他資產8 827.7 964.1衍生金融工具25 123.8 47.3聯營公司投資9 820.6 892.5商譽11 4,650.6 4,577.1無形資產淨額12,653.7 2,852.9物業、廠房和設備,使用權淨資產14 344.9 164.8非流動資產13,099.5 14,202.0現金及現金等價物4 6,049.4 6,951.8短期投資4 1,302.2 638.5應收賬款淨額5 1,310.3 3 028.0財務應收賬款淨額6 1,710.5 1,185.6合同資產2 119.2 164.6流動税金資產21 67.3 42.0存貨,淨資產8 599.9 687.2衍生金融工具25 39.0 52.2流動資產總額28,866.7 32,131.1股權和負債股東權益22 15,300.9 11,843.8長期債務16,662.8 4,075.0遞延和其他所得税負債21 401.9 438.3合同負債2 1,639.9 3,225.7應計和其他負債15 257.5 251.1非流動負債總額6,962.1 7,990.1應付賬款1,378.1 2,115.5應計負債和其他負債15 1,126.0 1,432.7衍生金融工具25 20.0 2.8流動税負債21 110.0 301.9長期債務的流動部分16 15.4 509.1合同負債2 3,954.2 7,935.2流動負債總額6,603.7 12,297.2權益和負債總額28,866.7 32,131.1


ASML 2021年年度報告180綜合股本變動表(分配淨收入前)已發行和流通股按成本計算的庫存股溢價留存收益其他儲備1淨收入總額(歐元,百萬)1月1日的票據數量股本餘額,2019年421.1 38.6 4,203.2(1,621.8)6,181.7 1,601.3 2,525.5 12,928.5前一年淨收入-2,525.5-(2,525.5)--全面收入構成:淨收入-2,581.1聯營公司在保險投資中所佔份額-(19.8)-(19.8)外幣換算-20.3-20.3金融工具的收益(虧損)25-(7.5)-(7.5)全面收益總額-(7.0)2,581.1 2,574.1購買庫存股(1.9)--(410.0)-(410.0)庫存股註銷-(0.5)-902.3(901.8)-以股份為基礎的付款2 20--82.8-82.8股票發行0.6 0.1(43.9)109.9(38.9)--27.2股息支付-(1,325.7)--(1,325.7)發展支出-74.1(74.1)--截至12月31日的餘額,2019年419.8 38.2 4,242.1(1,019.6)6,514.9 1,520.2 2,581.1 13,876.9上一年淨收入-2,581.1-(2,581.1)--全面收入構成:淨收入-3,696.8 3,696.8來自聯營公司的保險金份額-(1.3)-(1.3)外幣換算-(75.1)--(23.3)-(23.3)全面收益總額-(99.7)3,696.8 3,597.1購買庫存股(3.9)--(1,207.5)-(1,207.5)庫存股註銷--(0.7)-1,262.3(1,261.6)---支付股息--(1,066.4)--(1,066.4)--(1,066.4)發展支出-(192.5)192.5--截至12月31日的餘額,2020年416.5 37.6 4,259.2(863.2)6,557.5 1,613.0 3,696.8 15,300.9上一年淨收入-3,696.8-全面收入組成部分:淨收入-6,134.6 6,134.6在聯營公司的保單份額-22.0-22.0外幣換算-95.4-95.4金融工具收益(虧損)25---156.2 6,134.6 6,290.8購買庫存股(14.4)--(8,560.3)-(8,560.3)註銷庫存股-(1.2)-6,926.6(6,925.4)-以股份為基礎的付款220 20--131.7-131.7股票發行量0--(1,368.3)--(1,368.3)--(1,368.3)發展支出-(293.9)293.9--12月31日餘額,2021年402.6 36.5 4,369.4(2,422.8)1,663.0 2,063.1 6,134.6 11,843.8 1.其他儲備包括我們在聯營公司其他全面收入中的比例份額、對衝儲備、貨幣兑換儲備和資本化發展支出儲備。見附註22股東權益。2.股份支付包括直接在股東權益中確認的所得税(1420萬歐元)(2020年:歐元(900萬),2019年:歐元(810萬))。(3,696.8)


ASML年度報告2021年181截至2018年12月31日的合併現金流量表(歐元,單位:百萬)附註2019年2021年來自經營活動的現金流量淨收入2,581.1 3,696.8 6,134.6調整將淨收入與來自經營活動的淨現金流量進行調整:折舊和攤銷1 12,13,14 828.3 918.4 862.6出售時的減值和損失(收益)12,13 7.8 5.5(15.9)基於股份的補償費用20,28 74.6 62.9 131.7出售子公司收益10--(213.7)庫存準備金7 221.5 192.4 180.7遞延税項支出(收益)21(348.8)(175.8)(487.9)聯營公司投資2 956.9 11.0(49.8)資產和負債變動:應收賬款,淨額5(255.0)507.5(1,754.9)應收財務賬款,淨額6(95.3)(1,125.4)542.3存貨7(404.7)(706.7)(483.2)其他資產8(141.8)(67.9)(127.0)應計和其他負債15 155.2 106.4 410.3應付帳款(12.1)334.3 717.4當期税項資產和負債21(210.9)129.2 215.6合同資產和負債21 1 198.3 1 418.0 5 529.8經營活動提供的現金淨額3 655.1 5 306.6 11 592.6投資活動購買財產的現金流量,廠房及設備3 13(766.6)(962.0)(900.7)購買無形資產12(424.9)(658.9)(725.0)購買短期投資4(1,291.5)(1,475.5)(1,162.7)到期的短期投資4 1,019.0 1,359.1 1,826.4已發放貸款及其他投資8 0.9(12.2)(124.4)出售附屬公司所得收益(出售現金後淨額)10--329.0收購子公司(扣除收購的現金)10-(222.8)-用於投資活動的現金淨額(1,463.1)(1,972.3)(757.4)融資活動產生的現金流量股息22(1,325.7)(1,066.4)(1,368.3)購買庫存股22(410.0)(1,207.5)(8,560.3)發行股票的淨收益20 27.2 37.9 49.0發行票據的淨收益,扣除發行費用淨額16-1 486.3--償還債務和融資租賃債務1416(76.9)(62.2)(73.5)用於融資活動的現金淨額(1,785.4)(811.9)(9,953.1)現金流量淨額406.6 2,522.4 882.1匯率變動對現金的影響4.6(5.3)20.3現金和現金等價物淨增(減)411.2 2,517.1 902.4年初現金和現金等價物4 3,121.1 3,532.3 6,049.4年終現金和現金等價物4現金流量信息補充披露3,532.3 6,049.4 6,951.8:財產未付部分,扣除退款(678.7)(650.2)(1,235.0)1.折舊及攤銷包括物業、廠房及設備折舊、無形資產攤銷、使用權資產折舊、承銷佣金攤銷及與債券及信貸融資有關的折扣。2.對聯營公司的投資包括從聯營公司收到的利潤和股息,以及如附註26所披露的2019年和2020年研發和供應鏈支持資金的資本化。關聯方。收到的股息是2021年1.68億歐元的現金流入(2020年:1.281億歐元,2019年:9990萬歐元)。3.2021年,購買不動產、廠房和設備的金額為6920萬歐元(2020年:2.037億歐元,2019年:1.841億歐元),涉及為我們在聯營公司的投資提供設施和工具的資金,這些資金最初被確認為其他資產的一部分。


ASML 2021年年報182綜合財務報表附註1.一般信息/一般會計政策摘要我們是芯片行業的全球創新領導者。我們為芯片製造商提供硬件、軟件和服務,以儘可能高的保真度在硅上大規模生產圖案,我們稱之為整體光刻。我們的所作所為增加了芯片的價值,降低了成本,這將推動我們所有人走向一個更智能、更互聯的世界。總部設在歐洲最大的科技中心--荷蘭的Brainport Eindhoven地區,我們是一支擁有超過32,000名FTE的全球團隊,分佈在三大洲122個不同的國家。ASML的主要業務在歐洲、北美和亞洲。ASML N.V.的註冊辦事處位於荷蘭維爾德霍温德潤6501號。法定席位在維爾德霍温。該公司在荷蘭商業登記處註冊,註冊號為17085815。我們的股票以登記股票的形式在阿姆斯特丹泛歐交易所和納斯達克上市交易。我們普通股的主要交易市場是阿姆斯特丹泛歐交易所。我們的財務報表於2022年2月9日由管理委員會授權發佈,並將在2022年年度股東大會通過後8天內提交給埃因霍温商會的貿易登記簿。編制基礎所附合並財務報表以百萬歐元為單位,除非另有説明。這些為法定目的編制的合併財務報表是根據歐盟-國際財務報告準則編制的,也符合《荷蘭民法典》第二冊362.9條的規定。出於內部和外部報告的目的,我們採用美國公認會計準則。美國公認會計原則是我們設定財務和運營業績目標的主要會計標準。除非另有説明,合併財務報表是按歷史成本慣例編制的。所採用的主要會計政策如下。使用估計根據歐盟-國際財務報告準則編制我們的綜合財務報表要求管理層作出估計和假設,以影響綜合財務狀況報表日期的資產和負債額以及或有資產和負債的披露,以及報告期內淨銷售額和成本的報告金額。對我們估計和假設的投入考慮了經濟影響,包括新冠肺炎對我們關鍵會計估計的影響。我們認為,關鍵的會計估計和假設是適當的。反洗錢法將繼續監測包括“新冠肺炎”在內的經濟影響的影響,並將其納入會計估計數。實際結果可能與這些估計不同。我們不斷評估我們的估計,我們根據歷史經驗和我們認為在這種情況下合理的各種其他假設來進行估計。如果這些假設被證明是不正確的,實際結果可能與這些估計不同。如果實際結果與這些估計之間存在重大差異,我們未來的結果可能會受到實質性的不利影響。我們認為,下文所述的會計政策要求我們在編制綜合財務報表時作出重大判斷和估計。我們最關鍵的會計估計包括:·收入確認,包括租賃會計·庫存儲備·不確定的税務狀況·或有和訴訟·長期資產減值評估·發展支出資本化合並原則合併財務報表包括ASML Holding N.V.及其所有子公司的財務報表。子公司是ASML控制財務和經營活動的所有實體,通常伴隨着超過50.0%的未償還投票權的股權。子公司自ASML獲得控制權之日起完全合併。該公司使用一個季度的滯後來整合柏林Glas,以考慮到


ASML 2021年年度報告183及時編制合併財務信息。除在截至2021年12月31日的財政年度確認的柏林格拉斯非核心業務的剝離外,在這段滯後期內並無發生對綜合財務報表有重大影響的重大插入性事件。所有公司間交易、餘額和與子公司交易的未實現結果都將被沖銷。外幣換算歐元區以外擁有本位幣的子公司的財務信息是使用當地貨幣或歐元作為本位幣的混合貨幣來衡量的。在編制ASML的合併財務報表時,功能貨幣不同於歐元的外國子公司的財務報表被換算為歐元。資產和負債按各自資產負債表日的匯率換算成歐元,收入和成本按相應期間的平均匯率換算成歐元。由此產生的換算調整直接計入股東權益。儘管在2021年期間通過了新的歐盟-IFRS會計準則和解釋,但沒有通過對我們的合併財務報表產生重大影響的新的歐盟-IFRS會計準則和解釋。就截至2021年12月31日止年度已頒佈但未獲採納的新歐盟-IFRS會計準則及詮釋而言,並無尚未採納的新會計準則及詮釋,預期將對我們的綜合財務報表產生重大影響。2.與客户簽訂合同的收入會計政策我們根據與客户簽訂的合同中指定的對價來衡量收入,並根據任何重要的融資組成部分進行調整,不包括代表第三方徵收的任何税款。當我們通過將商品或服務的控制權轉移給客户來履行履行義務時,我們就會確認收入。我們向客户收取運費和手續費,並將其確認為收入。根據合同,我們通過預訂生產時段或在交付系統時獲得系統付款的權利,剩餘部分在我們的系統最終驗收後獲得。除非另有説明,否則我們的服務和現場選項的付款權利在服務發貨或服務完成時發生。付款通常應在上述事件發生後15-45天內支付。我們的合同通常包括取消罰款,以提供經濟保護,免受客户取消的風險。與銷售有關的成本被確認為銷售成本。我們的收入來自銷售半導體行業的集成圖形解決方案,主要包括系統、系統相關選項和升級、其他整體光刻解決方案和客户服務。我們淨銷售額的主要部分來自與我們的客户簽訂的批量購買協議,這些協議具有多種性能義務,主要包括銷售我們的系統、系統相關選件、安裝、培訓以及延長和增強保修。在我們的批量採購協議中,我們在正常的銷售談判過程中向客户提供折扣。作為這些批量購買協議的一部分,我們還可能提供免費的商品或服務以及可用於未來購買的積分。有時,系統以及相關的延長和增強保修、安裝和培訓服務是單獨訂購的。我們的銷售協議沒有包括退貨的權利,除了不符合約定的規格以外的任何理由。對於捆綁包,如果產品或服務可以與捆綁包中的其他項目分開識別,並且如果客户可以單獨受益或利用客户隨時可用的其他資源,則我們將個別商品和服務作為單獨和不同的履行義務,包括免費或折扣的商品或服務。我們為履行義務支付的對價通常是固定的。然而,我們與客户簽訂的大多數批量採購協議都包含一些可變的考慮因素,通常取決於客户訂購的系統的最終數量或系統性能。根據與客户的溝通,在合同開始時為每項履約義務估計可變對價,以瞭解


ASML《2021年年度報告》184其要求和路線圖。隨後每季度更新一次,使用期望值方法或最可能金額方法,無論哪種方法被確定為最能預測從客户那裏收集的對價。可變對價只有在被認為可能不會發生重大收入逆轉的情況下才包括在交易價格中。在某些情況下,在簽訂批量採購協議時,直接或通過可在未來合同上使用的憑單提供免費貨物或服務。在根據所提供的貨物或服務的性質轉讓控制權時,合同的對價將分配給這些履約義務和確認的收入。我們的一些合同要求我們的客户為發貨的系統支付首付。由於支付對價和將系統轉移給客户的時間差異是由融資以外的原因引起的,因此我們沒有記錄首付的重大融資部分。合同的總對價在合同中所有不同的履約義務之間根據其獨立的銷售價格進行分配。如果可能,獨立銷售價格是根據其他直接可見的獨立銷售確定的。然而,對於我們的大部分績效義務來説,這些都是不可用的。如果沒有直接可觀察到的證據,獨立銷售價格將使用調整後的市場評估方法確定,這需要判斷。對購買承諾之外購買商品或服務的選項進行評估,以確定它們是否向客户提供瞭如果沒有簽訂本合同就不會獲得的物質權利。以獨立銷售價格折扣價購買額外商品或服務的每一種選擇權都被視為一種實質性權利。如果確定客户將根據可能性進行調整後行使購買選擇權,則從獨立銷售價格提供的折扣將從合同中其他商品和服務的對價中分配。收入將根據相關商品或服務的性質予以確認。如果後來確定客户不會行使購買選擇權,或者選擇權到期,收入將被確認。有時,我們會根據客户的請求,對已準備好交付但要到較晚日期才能交付給客户的系統,向客户開具發票並保留交易。只有在有實質性的安排理由、系統被單獨識別為屬於客户、貨物已被客户接受並準備交付、並且我們沒有能力指導系統的使用時,控制權的轉移才被確定發生。我們從出租人協議中獲得收入,當租賃開始時滿足以下任何標準時,我們將其歸類為融資租賃:·租賃在租賃期結束時將標的資產的所有權轉讓給承租人;·租賃授予承租人購買標的資產的選擇權,承租人合理地肯定會行使這一選擇權;·租賃期限是標的資產剩餘經濟壽命的主要部分。但是,如果開始日期是在標的資產的經濟壽命結束時或接近經濟壽命結束時,這一標準不得用於對租賃進行分類;·租賃付款和承租人擔保的尚未反映在租賃付款中的任何剩餘價值之和的現值等於或基本上超過標的資產的全部公允價值;或·標的資產具有特殊性質,預計在租賃期結束時沒有出租人的替代用途。對於銷售型租賃而言,資產所有權的幾乎所有風險和回報都轉移給承租人,收入在租賃期開始時確認。應收融資毛額與最低租賃付款現值之間的差額最初確認為未賺取利息,並作為應收融資毛額的減項列報。利息收入按實際利息法在租賃合同期間的綜合損益表中確認。


ASML年度報告2021年185貨物或服務的性質、履行履行義務的時間和重大付款條件新系統(成熟技術)新系統銷售包括I-LINE、KRF、ARF、ARFI和EUV相關係統,以及與基礎系統一起訂購的相關工廠選項,以及計量和檢查系統。發貨前,我們的大多數系統都會在潔淨室設施中接受工廠驗收測試(FAT),有效地複製客户現場的運行條件,以驗證系統是否滿足其標準規格以及與客户商定的任何其他技術和性能標準。只有在滿足所有合同規格或放棄與商定規格的差異並收到客户簽字以供交付後,系統才會發貨。在客户現場安裝後,通過現場驗收測試(SAT)重新測試每個系統的性能。我們從未在客户所在地成功完成系統的安裝;因此,FAT的接受度被認為是在SAT(等於或優於FAT)成功接受客户的歷史上得到驗證的成熟技術。新系統的銷售不符合長期收入確認的要求,因為我們的客户沒有同時獲得和消費我們的業績提供的好處,或者在我們生產過程的任何階段控制資產,以及這些系統被認為具有替代用途。正在進行FAT的系統的控制權轉移以及與該系統相關的收入的確認將在該系統交付時發生。未經歷FAT的系統的控制權轉移以及與該系統相關的收入確認將在安裝完成後客户在SAT接受該系統時進行。二手系統我們在一般銷售條款和條件中沒有回購承諾,但我們偶爾會回購以前製造和銷售的系統,以便將系統翻新並轉售給不同的客户。這一回購決定主要是受其他客户表達的市場需求推動。舊系統控制權的轉移和收入的確認,遵循與我們的“新系統(已建立的技術)”相同的邏輯。現場升級和選件(系統增強)現場升級和選件主要涉及為客户工廠中已安裝的系統提供的貨物和服務。某些升級需要大量的安裝工作,從而增強客户控制的資產,因此導致在安裝期內轉移控制權,使用使用工時估計的已發生成本方法來衡量,因為這最好地描述了我們在轉移控制權方面的義務的履行。對於客户在交付時獲得和消費收益的選項和其他升級,控制權的轉移和收入的確認將在交付時發生。只要我們不能對完成升級所需的總努力做出可靠的估計,我們就只確認收入來彌補所發生的成本。利潤將在我們能夠做出可靠的估計或完成升級的較早時間實現。新產品介紹我們銷售新產品和服務,這是我們現有技術的發展。如果確定安裝不是單獨的性能,或者如果在FAT上沒有足夠的已建立的驗收歷史,則該產品被確定為“新產品介紹”。新產品介紹通常是在我們的系統中使用的新開發的選項。在SAT成功安裝和客户接受後,新產品推出的控制權轉移和收入確認就會發生。一旦建立了成功安裝和客户驗收的歷史,在控制權轉移後,收入將與其他系統和貨物一致確認。安裝安裝在系統的銷售價格內提供。安裝被認為是不同的,因為它不會對所購買的系統進行重大修改,而且如果需要,客户或第三方可以自己執行安裝。控制權的轉移是在從交付到SAT的安裝期間進行的,以直線為基礎進行衡量,因為我們的業績在這段時間內得到了均勻的滿足。非銷售型租賃屬於經營性租賃安排。如果我們向客户提供了運營租賃安排,系統將在租賃開始時包括在物業、廠房和設備中。經營租賃安排的收入在租賃合同期限內按直線法在綜合損益表中確認。


ASML年度報告2021年186商品或服務的性質、履行履行義務的時間和重大付款條款保修我們為我們的系統提供為期12個月的標準保修,在這些保修期內提供維修我們系統所需的人工和非消耗性部件。這些標準保修不能購買,並且除了系統將按承諾執行的一般保證外,不提供其他服務。因此,沒有任何收入分配給這些標準保修。我們系統的延長保修和增強保修都被視為單獨的性能義務,在保修期內發生控制權轉移,以直線為基礎進行衡量,因為這是一項隨時可用的義務。基於時間的許可證和相關服務基於時間的許可證是指在一段時間內銷售的軟件許可證和相關服務。許可證和相關服務不被認為是獨立的,因為支持服務對於客户在快速變化的技術環境中繼續使用軟件許可證的能力是不可或缺的。控制權的轉移是在許可期限內進行的,以直線為基礎進行衡量,因為我們的性能在這段時間內得到了均勻的滿足。在整個許可期限內分期付款。應用項目應用項目是節點過渡和諮詢項目,有時可以在批量購買協議中作為免費服務提供。衡量這一履行義務的滿意度是通過一種輸入法進行的,這種輸入法基於所花費的勞動小時相對於估計的總勞動小時,因為這最好地描述了這類服務的控制權轉移。服務合同與我們的客户簽訂服務合同,以支持我們的系統在系統生命週期的持續運營中使用,通常是以全面服務協議、有限人力協議、其他勞動協議、部件可用性或部件使用協議的形式。這些服務通常持續一段指定的時間。在這段時間內的控制權轉移,在直線基礎上衡量,因為這些是準備就緒的義務,除了工作時間池服務合同,我們根據歐盟-IFRS 15.B16中的實際權宜之計,根據發票確認收入。在整個服務期內,通常按月或按季開具發票。計費部件和人工計費人工是指通過客户的採購訂單,對安裝在客户工廠中的系統在運行期間提供的維護服務。在收到客户簽字後,對這些服務的控制權轉移到客户手中。可計費部件是指通過客户的採購訂單安裝在客户工廠中的備用部件,包括與我們的系統相關的光學部件。可開單部件可以:·作為直接備件出售,交付時控制權轉移;或·作為維護服務的一部分出售,在收到客户簽字後控制權轉移。外地項目(搬遷)外地項目主要是搬遷服務。這一履行義務的滿意度是通過一種輸入法執行的,該輸入法基於所花費的工時相對於估計的總工時,因為這最好地描述了我們服務的控制權的轉移。在我們的光源系統上,OnPulse維護在指定的時間段內提供OnPulse維護服務。付款由從每個光源系統計數的脈衝量確定,該量是可變的。根據計數的脈衝按月開具發票。按照歐盟國際財務報告準則15.B16中的實際權宜之計,按照發票確認收入。


ASML年度報告2021年187收入分類我們從與客户的合同中獲得的收入,在分類的基礎上,與我們的可報告部門披露保持一致,並增加了按技術和按最終用途分類的系統淨銷售額。每項技術的系統淨銷售額如下:系統淨銷售額(單位:百萬歐元)2021年EUV 42 6,284.0 ARF 81 4,959.6 ARF乾式22 431.9 KRF 131 1,321.3 I-LINE 33 142.3計量與檢測196 513.7總計505 13,652.8 2020 EUV 31 4,463.8 ARF 68 3,917.0 ARF乾式22 427.0 KRF 103 1,012.3 I-LINE 34 146.4計量與檢測137 350.1總計395 10,316.6 2019年EUV 26 2,799.7 ARFI 82 4,707.7 ARF乾式22 401.2 KRF 65 679.7 I-LINE 34 133.5計量和檢驗115 274.4總計344 8,996.2每個最終用途的系統淨銷售額如下:截至2018年12月31日的年度系統淨銷售額(單位:百萬歐元)2021年邏輯327 9,588.5內存178 4,064.3總計505 13,652.8 2020邏輯260 7,393.0內存135 2,923.6總計395 10,316.6 2019邏輯238 6,565.3內存106 2,430.9總計344 8,996.2


ASML 2021年年度報告188合同資產和負債合同資產與我們獲得對價以換取交付的貨物或服務的權利有關,當這種權利是以時間流逝以外的其他條件為條件時。當應收款變為無條件時,合同資產轉移到應收款。合同負債主要涉及已收到對價的剩餘履約義務,如為要交付的系統收到的首付款,以及根據對價在合同中對相關履約義務的分配而從系統發貨產生的遞延收入。這一遞延收入主要包括作為批量購買協議的一部分提供的延長和增強的保修、安裝和免費商品或服務。我們的大多數客户合同都包含資產和負債頭寸。在每個報告期結束時,這些頭寸以合同為基礎進行淨額結算,並在綜合財務狀況表中作為資產或負債列報。因此,在資產負債表中,合同餘額可以在不同期間從淨合同資產餘額變為淨合同負債餘額。本期間合同資產和合同負債餘額的重大變化如下。截至12月31日的年度(歐元,合同資產合同負債合同負債年初合同負債餘額231.0 4,286.0 119.2 5,594.1從合同資產轉入應收賬款(192.2)-(268.2)--在合同資產中確認的收入83.4-199.7--已列入合同負債的確認收入--(2,428.4)-(3,767.0)因估計數變化導致的累計追趕調整的變化--(41.9)-39.7已收到考慮的剩餘履約債務,或我們有權無條件對價的合同-3,781.4-9,180.2合同資產與負債之間的轉移(3.0)(3.0)113.9 113.9總計119.2 5,594.1 164.6 11,160.9截至2021年12月31日,合同淨負債增至109.963億歐元,而截至2020年12月31日,合同淨負債為54.749億歐元,主要是由於確認了將在未來發貨的系統的首付款。在本年度收入中確認的累積追趕調整是由於對我們的批量採購協議中包括的系統數量、折扣和信用的更新估計。剩餘的履約義務我們的客户通常通過單獨的銷售訂單和服務合同承諾購買系統、服務或現場選項。通常,這些銷售訂單的條款和條件來自與我們客户的批量採購協議,期限最長可達5年。每項承諾履約義務的收入是根據通過批量採購協議商定的條款和條件估計的。何時確認收入主要取決於系統的發貨或安裝時間,以及服務項目和現場升級的執行和完成時間。所有這些都是根據合同條款和與我們客户的溝通進行估計的,包括客户設施是否準備好接受我們的商品或服務。批量採購協議可能會受到修改,影響預期收入的收入確認金額和時間。截至2021年12月31日,剩餘的履約義務總額為289億歐元(2020年12月31日:151億歐元)。我們估計,這些預期收入中的61%(2020年12月31日:76%)將在未來12個月內確認。剩餘的預期收入主要包括與EUV系統和我們的下一代EUV平臺High-NA相關的訂單,這些訂單計劃於2023年或更晚發貨。


ASML年度報告2021年189 3.部門披露ASML有一個可報告的部門,用於先進半導體設備系統的開發、生產、營銷、銷售、升級和服務,包括光刻、計量和檢驗系統。首席業務決策者定期審查其業務結果,以便就資源分配作出決定並評估業績。管理報告包括新系統和舊系統的淨系統銷售數字、每項技術的銷售額和每一最終用途的銷售額。關於每項技術和最終用途的銷售額,請參閲附註2與客户簽訂的合同收入。新系統和舊系統的淨銷售額如下:截至2019年12月31日的年度(歐元,以百萬為單位)2019年2021年新系統8,807.1 10,160.8 13,446.1已用系統189.1 155.8 206.7淨系統銷售額8,996.2 10,316.6 13,652.8為地理報告,總淨銷售額歸因於客户設施所在的地理位置。非流動資產總額歸因於這些資產所在的地理位置,不包括遞延税項資產、金融工具和薪酬計劃資產。按地理區域分列的總數如下:截至12月31日的年度(歐元,淨銷售額非流動資產總額2021年日本459.3 8.3韓國6,223.0 89.7新加坡126.2 8.6臺灣7,327.9 187.2中國2,740.8 30.3亞洲其他地區1.8 0.5荷蘭14.2 7,030.4歐洲、中東和非洲地區134.6 935.6美國1,583.2 3,934.0總計18,611.0 12,224.6 2020年日本542.8 12.6韓國4,151.6 59.5新加坡84.9 3.5臺灣4,731.3 188.4中國2,324.426.8亞洲其他地區1.6 0.8荷蘭1.6 6,521.0歐洲、中東及非洲地區483.3 982.8美國1,657.0 3,899.1總計13,978.5 11,694.5 2019年日本463.2 10.3韓國2,202.1 41.1新加坡120.0 2.1臺灣5,357.0 156.1中國1,377.7 34.0亞洲其他地區1.9荷蘭2.6 6,043.1歐洲、中東及非洲地區314.6 772.4美國1,980.2 3,839.1 2021年總計11,820.0 10,900.12個客户超過總淨銷售額的10%,總計125.054億歐元,佔總淨銷售額的67.2%。2020年和2019年,3個客户超過總淨銷售額的10%,2020年總計99.465億歐元,佔71.2%(2019:


ASML 2021年年報190歐元,80.181億歐元,或67.8%)。截至2021年12月31日,我們的三大客户(基於總淨銷售額)佔應收賬款和融資應收賬款的38.552億歐元,佔83.7%,而截至2020年12月31日,佔27.57億歐元,佔80.1%;截至2019年12月31日,佔21.918億歐元,佔77.2%。總淨銷售額從2020年的139.785億歐元增長到2021年的186.11億歐元,淨銷售額為46.325億歐元,增幅33.1%,原因是全球芯片短缺、數字基礎設施的加速以及對“技術主權”的推動。這導致了每種技術的銷售量更高。這也導致了我們的服務和現場選項業務的增長,因為客户對我們的生產率提高方案的需求提前,這些方案提供了最有效和最高效的方式來增加晶圓產量。邏輯行業在2021年繼續保持強勁勢頭,是我們最先進的EUV系統的最大消費國。2021年,由於數據中心和智能手機需求強勁,內存需求繼續增長。臺灣和韓國的地區銷售增幅最大,以支持擴大產能以滿足全球需求。荷蘭非流動資產的增加主要是由於建造ASML的物流設施、High-NA工廠和我們在Veldhoven總部的辦公空間,以支持我們的持續增長。部門業績也由我們的首席運營決策者根據美國公認會計準則對總資產進行評估。下表為綜合財務狀況表中對總資產的計量和核對:截至2020年12月31日的年度(歐元,以百萬為單位)2020 2021根據美國公認會計準則27,267.4 30,231.0發展支出(附註A)1,613.9 1,907.9所得税(附註B)(14.6)(7.8)總資產基於歐盟-IFRS 28,866.7 32,131.1美國GAAP和歐盟-IFRS之間的上述差異主要涉及以下內容:附註A-歐盟-IFRS項下的發展支出,我們採用IAS 38,“無形資產”。根據國際會計準則第38號,我們將某些開發支出資本化,這些支出在相關產品的預期使用壽命內攤銷,一般為一至五年。根據美國公認會計原則,我們適用ASC 730,“研究和開發”。根據ASC 730,我們將與研究和開發相關的成本計入發生的運營費用。注B--所得税根據美國公認會計原則,在合併中消除與存貨相關的公司間交易的未實現淨收入會產生臨時差額,因此必須在合併中確認預付税款。根據美國公認會計原則,這些預付税款是根據賣方的税收管轄權計算的。與美國公認會計原則相反,預付税項在歐盟國際財務報告準則中確認為遞延税項資產,並根據買方而不是賣方税務管轄區適用的税率計算。4.現金及現金等價物及短期投資會計政策現金及現金等價物主要由高流動性投資組成,例如銀行存款、存放於政府及政府相關機構的存款、貨幣市場基金及銀行賬户可隨時兑換為已知數額的現金,且利率風險不大且於收購日持有該等投資的實體的原始到期日為3個月或以下。收購日原始到期日大於3個月、1年或以下的投資作為短期投資列報。這些投資的公允價值變動不是臨時性的,在綜合損益表中確認。短期投資的利率風險微不足道。


本組織2021年年度報告191現金和現金等價物及短期投資如下:截至2021年12月31日的年度(歐元,百萬歐元)2021年在金融機構、政府和政府相關機構的存款1 545.3 2 131.7貨幣市場基金的投資3,841.9 2,928.3銀行賬户662.2 1,891.8現金和現金等價物6,049.4 6,951.8在金融機構、政府和政府相關機構的存款1,302.2 638.5短期投資1,302.2 638.5現金和現金等價物和短期投資主要受業務活動提供的強勁淨現金的影響,主要由股份回購計劃、派發股息及購置物業廠房及設備及無形資產所抵銷的淨收入增加及首期付款增加所帶動。存放在金融機構、政府和政府相關機構的存款,以及對貨幣市場基金的投資,被S、穆迪或惠譽等信用評級機構評為投資級信用評級。我們的現金和現金等價物主要以歐元計價,並在一定程度上以美元、新臺幣、韓元和人民幣計價。截至2021年12月31日,對現金和現金等價物的使用沒有限制(2020年:沒有限制)。這些資產的賬面價值接近其公允價值。應收賬款,會計政策應收賬款按公允價值計量,隨後按攤銷成本減去信貸損失準備計量。應收賬款的賬面價值接近公允價值。我們對客户的財務狀況進行持續的信用評估。我們會定期檢討是否需要為信貸損失撥備,考慮的因素包括:過往的付款經驗、信貸質素、應收賬款結餘的賬齡、預期的終身虧損,以及可能影響客户支付能力的當前經濟狀況。在達成出售應收賬款的安排時,我們只有在符合取消確認標準的情況下才會取消確認應收賬款。這些標準要求與賣方隔離,授予買方質押或交換應收款的權利,併合法轉移應收款上的幾乎所有風險和報酬。應收賬款由以下部分組成:截至2021年12月31日的年度(歐元,百萬歐元)2020年應收賬款總額1,313.1 3,032.5信貸損失撥備(2.8)(4.5)應收賬款淨額1,310.3 3,028.0截至2021年12月31日的應收賬款與2020年12月31日相比有所增加,這是由於我們的銷售額和保理應收賬款的時間增加。2021年,通過保理安排出售的應收賬款共計23億歐元(2020年:22億歐元)。這些金額包括5億歐元(2020年:14億歐元)常規貿易應收賬款和18億歐元(2020年:8億歐元)絕對、無條件、不可撤銷的應收賬款,用於2022年和2023年發貨的系統首付款。由於資產與賣方隔離,應收賬款保理已被取消確認,應收賬款的所有風險和回報基本上都轉移給了買方,而且對買方與保理項目沒有任何限制。出售的應收賬款的公允價值與其賬面價值基本相同。現金收據在現金流量表合併報表中作為經營性現金流量處理。


ASML 2021年年度報告192應收賬款減值並按個人計提準備。截至2021年12月31日,應收賬款4.488億歐元(2020年:2.778億歐元)逾期但未減值。這些餘額仍然被認為是可以收回的,因為它們與最近沒有違約歷史且信用質量沒有重大變化的客户有關。下表顯示了逾期最多三個月和逾期三個月以上的應收賬款的賬齡分析。當付款期限到期時,應收賬款就是逾期的。截至2021年12月31日(歐元,以百萬為單位),2021年逾期三個月131.3 401.0逾期三個月149.3 52.3逾期總數280.6 453.3 2021年平均逾期天數從2020年的34天增加到2021年的59天。2021年和2020年,我們沒有就係統銷售的應收賬款記錄任何預期的信用損失。6.融資應收賬款,會計政策融資應收賬款包括與融資租賃有關的應收賬款。我們對客户的財務狀況進行持續的信用評估。我們會定期檢討是否需要信貸損失撥備,考慮的因素包括:過往的付款經驗、信貸質素、應收賬款結餘的老化情況、預期的終身虧損,以及可能影響客户支付能力的當前經濟狀況。下表列出了截至2021年12月31日和2020年12月31日的應收賬款構成:截至2021年12月31日的年度(歐元,單位:百萬)2021年應收金融賬款,毛額2,122.5 1,570.0未賺取利息(11.5)(1.4)金融應收賬款,淨額2,111.0 1,568.6應收金融賬款的流動部分,毛額1,716.1 1,187.0未賺取利息的流動部分(5.6)(1.4)應收金融賬款的非流動部分,淨400.5 383.0截至12月31日的金融應收賬款與12月31日相比減少,2020年是已發貨系統的免費使用期和評估期到期的結果,但通過向更多系統提供免費使用期,新的銷售類型租賃部分抵消了這一影響。這些銷售型租賃支持高端系統的容量提升,而高端系統是該技術早期插入生命週期的一部分。預計它們將在免費使用期結束時購買。我們的融資租賃在租賃開始日確認的毛利潤在2021年期間達到5.142億歐元(2020年:8.302億歐元;2019年:3.439億歐元)。截至2020年12月31日和2021年12月31日,最低租賃付款和最低租賃付款現值為:最低租賃付款截至12月31日的年度最低租賃付款現值(歐元,406.4 383.0 400.5 383.0總數2,122.5 1,570.0 2,111.0 1,568.6減去:未賺取利息(11.5)(1.4)n/a n/a於2021年及2020年的最低應收租賃付款現值2,111.0 1,568.6 2,111.0 1,568.6於2021年及2020年,吾等並無記錄任何預期的應收財務應收賬款信貸損失。截至2021年12月31日,財務應收賬款既無逾期,也無減值。


ASML 2021年年度報告193 7.庫存,會計政策淨額庫存成本是按先進先出的原則計算的。我們的庫存價值包括採購材料、運費、關税、生產勞動力和可變間接費用。庫存的估價包括根據我們的製造和組裝設施的正常能力來確定哪些固定成本應該資本化到庫存中。在生產低於我們既定的正常產能期間,我們的固定間接費用、運費和浪費材料中的異常金額不會計入庫存,而是在發生時計入銷售成本。根據對未來需求和市場狀況的假設,存貨以成本或可變現淨值中的較低者進行估值。對存貨的估價還要求我們為有缺陷、陳舊或過剩的存貨建立準備金。我們使用我們的需求預測來制定製造計劃,並利用這些信息與原材料、在製品和成品水平進行比較,以確定缺陷、過時或過剩庫存的數量。庫存包括:截至2021年12月31日的年度(歐元,百萬)2021年原材料2,073.4 2,668.3在製品1,805.0 1,749.9成品1,164.2 1,179.0庫存總額5,042.6 5,597.2報廢津貼(473.2)(418.0)庫存淨額4,569.4 5,179.2與2020年相比,2021年庫存增加的原因是客户需求增加,我們最新技術的成本上升以及安裝基礎不斷擴大。庫存準備金變動情況摘要如下:2021年12月31日終了年度(歐元,百萬歐元)2020年2021年年初餘額(494.3)(473.2)本年度匯率變動的影響(192.4)(180.7)年終準備金利用率212.7 242.0年終餘額(473.2)(418.0)2021年、2020年和2019年的增加額計入銷售費用。這一年增加的主要是庫存項目,這些項目由於技術發展和設計變化而過時。2021年在銷售成本中確認的庫存成本為68.07億歐元(2020年:54.033億歐元;2019年:49.3億歐元)。


ASML年度報告2021年194 8.其他資產9.對聯營公司的投資會計政策我們能夠施加重大影響但不受控制的股權投資,使用權益法入賬,並在我們的聯營公司投資綜合財務狀況表中列報。截至收購日,我們的投資成本與我們在被投資人相關淨資產賬面價值中的比例份額之間的差額是基差。基準差額根據收購日(即吾等獲得重大影響的日期)的公允價值分配給可識別資產和負債,投資的超額成本超過可識別資產和負債的比例公允價值即為權益法商譽。我們按產生差額的其他無形資產的估計剩餘使用年限攤銷與其他無形資產有關的基準差額。收購的有限壽命無形資產的剩餘加權平均壽命為15.1年,並使用直線法進行攤銷。正在進行的研發最初按公允價值作為尚未準備好使用的無形資產進行資本化,並於其後評估減值。當研發項目完成時,它被重新分類為可攤銷的購入無形資產,並在其預計使用壽命內攤銷。如果項目被放棄,我們將在放棄期間在我們的綜合損益表中記錄相關無形資產價值的全部基礎差額費用。權益法商譽不會攤銷或測試減值;相反,當事件或情況變化顯示投資的賬面價值可能無法收回時,權益法投資便會測試減值。根據權益法,於初步按成本確認後,吾等於聯營公司的投資將按吾等按比例計入被投資公司的損益及其他全面收益進行調整,並於一個季度的滯後時間確認,以便及時編制財務資料,並在聯營公司投資的利潤內列報。我們在被投資方利潤或虧損中的比例份額將根據會計原則和政策、基差調整和實體內利潤的任何差異進行調整。收到股息會減少我們對聯營公司的投資,這是根據分配的性質作為運營現金流列報的。其他流動和非流動資產包括:截至12月31日的年度(歐元,預付款給蔡司SMT有限公司1 265.8 288.5預付費用115.9 113.2應收增值税125.6 136.7其他資產92.6 148.8其他流動資產599.9 687.2預付款給蔡司SMT有限公司1 668.0 694.3貸款給蔡司SMT有限公司1-124.4預付費用55.2 41.0補償計劃資產67.0 81.4非流動應收賬款22.6 8.0其他資產14.9 15.0其他非流動資產827.7 964.1 1.有關卡爾·蔡司SMT有限公司其他資產的進一步詳情,請參閲附註26。關聯方。預付費用主要包括維護預付款和截至2021年12月31日與IMEC聯合開發項目相關的合同餘額3030萬歐元(2020年:5380萬歐元)。2018年底,我們與IMEC啟動了新的聯合開發計劃,根據該計劃,我們主要提前交付系統和服務,並在截至2024年的整個合同期內接受研發服務。非流動資產和流動其他資產的賬面價值接近公允價值。


ASML年度報告2021年195聯營公司的投資包括於2017年6月29日收購卡爾·蔡司SMT Holding GmbH&Co.kg的24.9%股權,這是一家有限合夥企業,擁有我們的光學柱子的單一供應商Carl Zeiss SMT GmbH。在截至2021年12月31日的年度,我們在綜合損益表中記錄了與投資相關的利潤1.991億歐元(2020年:8860萬歐元)。這一利潤包括以下組成部分:·與我們在Carl Zeiss SMT Holding GmbH&Co.kg的股份相關的2.465億歐元(2020年:1.114億歐元)的利潤,包括2021年與Carl Zeiss SMT Holding GmbH&Co.Kg之前遞延收入相關的7900萬歐元的收益,由於簽訂了新的框架協議·與無形資產相關的基差攤銷產生的成本為2670萬歐元(2020年:2670萬歐元)·由於公司間利潤減少2070萬歐元(2020年:歐元(390萬歐元)),2021年我們從Carl Zeiss SMT Holding GmbH&Co.kg獲得了1.68億歐元(2020年:1.281億歐元)的股息。卡爾·蔡司SMT Holding GmbH&Co.KG是一傢俬人持股公司;因此,無法獲得其股票的市場報價。卡爾·蔡司SMT Holding GmbH&Co.kg不包括基差調整,在會計政策調整之前,使用一個季度滯後的財務信息摘要如下:截至12月31日的年度(歐元,非流動資產總額691.6 898.1流動資產總額1,545.5 1,751.6非流動負債總額464.0 513.6流動負債總額1,676.7 1,914.7損益彙總表和全面收益:淨銷售額總額1,813.9 2,267.8淨收入550.4 727.3其他全面收入(6.1)75.3全面收入544.3 802.6對卡爾·蔡司SMT Holding GMBH&Co.KG的淨收入和利潤10.子公司的業務合併和資產剝離會計政策收購按收購方式計入。收購成本是根據按公允價值轉移的代價、分配的可識別資產的公允價值以及於收購日期(即吾等取得控制權的日期)產生或承擔的負債的公允價值計量的。商譽被資本化為被收購子公司成本的超額部分,扣除分配給被收購的可識別資產和產生或承擔的負債的金額。與收購有關的成本在發生或接受服務期間發生時計入費用。在我們的綜合損益表中報告的與對聯營公司的投資相關的情況如下:截至2010年12月31日的年度(歐元,以百萬為單位)2020年2021年淨收入550.4 727.3 ASML在淨收入中的份額137.0 181.1會計政策調整(25.6)65.4基差調整(26.7)(26.7)公司間利潤抵消3.9(20.7)與聯營公司投資相關的ASML利潤88.6 199.1


ASML年報2021年196業務合併2020年10月30日,我們完成了對柏林Glas的收購,並通過收購柏林Glas 100%的已發行股本獲得了控制權,總代價為2.571億歐元。柏林格拉斯是世界領先的光學關鍵部件、組件和系統供應商之一。總對價分配給8790萬歐元的商譽、3.121億歐元的收購資產和1.429億歐元的負債。或有對價於2021年以現金支付。收購柏林Glas產生的大部分商譽歸因於收購將幫助我們實現我們的戰略目標,即確保未來光刻系統的升級和推出。所有商譽都已分配給ASML報告股。所有確認的商譽預計都不能在所得税中扣除。資產剝離在2021年期間,我們出售了在收購柏林Glas時收購的非半導體業務。這些出售的收益總計3.394億歐元,主要與2021年11月30日出售醫療應用公司和瑞士光學業務有關。剩餘的收益來自於2021年4月30日出售柏林Glas Technology Glas業務。在這些交易中確認了2.137億歐元的税前收益,這些收益記錄在我們綜合損益表的其他收入(虧損)項中。11.商譽會計政策商譽是指收購成本超過被收購子公司在收購之日分配給被收購子公司的資產和負債的公允價值的部分。收購附屬公司之商譽分配予政府一般單位作減值測試之用。分配給預期將從產生商譽的業務合併中受益的CGU。商譽按成本減去累計減值損失列報。商譽每年或當事件或環境變化顯示商譽的賬面值可能無法收回時進行減值測試。如果CGU的可收回金額少於該單位的賬面金額,減值損失將首先分配給該單位,以減少分配給該單位的任何商譽的賬面金額,然後按單位內每項資產的賬面金額按比例分配給該單位的其他資產。確認為商譽的減值損失不會在隨後的期間沖銷。商譽主要來自對Cmer和HMI的收購。截至2021年12月31日的餘額為45.771億歐元(2020年:46.506億歐元)。減少7350萬歐元是2021年柏林Glas非半導體業務剝離的結果。我們已經確定了兩個CGU,CGU ASML和CGU Cmer光源。截至2021年12月31日,分配給CGU ASML的商譽金額為41.192億歐元(2020年:41.927億歐元),CGU Cmer光源公司的商譽金額為4.579億歐元(2020年:4.579億歐元)。ASML CGU。CGU的可收回金額基於與前一報告期一致的在用價值計算。使用價值的計算是通過對持續使用CGU產生的税前未來現金流量進行貼現進行的。預測的五年後的現金流是使用0%的增長率進行外推的。這些估計與我們用來管理基礎業務的計劃和估計成本一致。用於確定預期貼現未來現金流的税前WACC為CGU ASML的10.5%和CGU Cmer光源的9.6%。根據吾等在年度商譽減值測試中所作的評估,吾等相信CGU的可收回金額極有可能超過其賬面值,因此截至2021年12月31日,商譽並未減值。


無形資產,會計政策無形資產包括內部產生的無形資產、品牌、知識產權、已開發的技術、客户關係和其他尚未使用的無形資產。這些有限年限的無形資產按成本、減去累計攤銷和累計減值損失列報。攤銷是根據資產的估計使用年限採用直線法計算的。當有跡象顯示資產負債表賬面金額可能無法收回時,有限年限無形資產就會按使用年限的現金流量預測進行減值評估。下表顯示了無形資產各自的使用年限:類別估計使用壽命品牌20年開發支出1-5年知識產權3-10年開發技術6-15年客户關係8-18年其他2-10年內部產生的無形資產-研究活動的開發支出在發生期間確認為成本。歐盟《國際財務報告準則》要求,只有在能夠證明某些標準的情況下,才能將發展支出資本化。只有在我們能夠證明以下所有條件的情況下,我們才能確認我們開發產生的內部產生的無形資產:·完成無形資產以便可以使用或出售的技術可行性·完成無形資產並使用或出售它的意圖·使用或出售無形資產的能力·所創造的資產將產生未來經濟效益的可能性·是否有足夠的技術、財政和其他資源來完成開發並使用或出售無形資產·能夠可靠地衡量無形資產在開發期間用於某些開發項目的支出,不可能將發展活動與研究活動分開(2021年和2020年分別約為1.66億歐元和1.227億歐元)。因此,我們無法可靠地確定發生的開發支出金額,因此沒有將這些計劃的金額資本化。


ASML年度報告2021年198截至2021年12月31日無形資產主要由開發支出、品牌、知識產權、開發的技術和從收購HMI(2016)和Cmer(2013)獲得的客户關係組成:歐元,以百萬歐元為單位開發支出品牌知識產權開發的技術客户關係其他1月1日的總成本餘額,2020年2,691.8 38.9 142.4 1,200.1 228.6 110.5 4,412.3通過業務合併進行的收購-30.0-2.3 32.3增加620.9-2.5--33.4 656.8處置(41.3)-(0.2)(41.5)匯率變動的影響(3)-(0.1)--(0.1)(3.2)12月31日的餘額,2020年3,268.4 38.9 144.8 1,230.1 228.6 145.9 5,056.7新增685.4-45.6 731.0撤資--(9.9)-(0.8)(10.7)處置(0.7)-(0.5)(1.2)匯率變動的影響2.6-(0.2)2.4 12月31日的餘額,2021年3,955.7 38.9 144.8 1,220.2 228.6 190.0 5,778.2截至2020年1月1日的累計攤銷結餘1,276.8 9.2 70.6 428.6 83.2 24.5 1,892.9攤銷427.7 1.9 8.2 82.1 12.7 18.6 551.2處置(40.4)-(0.2)(40.6)匯率變動的影響(0.5)-(0.5)12月31日的結餘2020年1,663.6 11.1 78.8 510.7 95.9 42.9 2,403.0攤銷391.6 1.9 8.4 84.2 12.7 25.8 524.6減值費用-撤資--(0.9)-(0.4)(1.3)處置-(0.4)(0.4)匯率變動的影響(0.3)--(0.3)(0.6)12月31日餘額,2021年2,054.9 13.0 87.2 594.0 108.6 67.6 2,925.3賬面金額2020年12月31日1,604.8 27.8 66.0 719.4 132.7 103.0 2,653.7 2021年12月31日1,900.8 25.9 57.6 626.2 120.0 122.4 2,852.9 2021年的開發支出主要集中在支持我們在極紫外、深紫外和應用領域的整體光刻解決方案的計劃上。2021年,這些活動主要涉及開發High-NA,我們的下一代0.55NA系統,乾式系統XT:860N和XT:870,NXE:3600D系統,以及進一步開發YeldStar和過程窗口控制解決方案。在我們截至2021年12月31日的無形資產中,20.232億歐元是內部產生的(2020年12月31日:17.078億歐元)。這些無形資產包括在發展支出和其他支出中。綜合經營報表包括以下攤銷費用:截至2019年12月31日的年度(歐元,百萬歐元)2019年2021年銷售成本477.1 529.5 499.4研發成本7.5 12.0 14.5 SG&A 10.5 9.7 10.7截至2021年12月31日的攤銷總額495.1 551.2 524.6,其他尚未可用的無形資產,包括在開發支出中的金額為11.215億歐元(2020年:5.206億歐元),並分配給CGU ASML 11.154億歐元和CGU Cmer光源610萬歐元。這一增長主要是由於2021年持續的高淨資產投資。截至2021年12月31日,尚未列入其他項目可供使用的無形資產達2360萬歐元(2020年:2480萬歐元),並分配給CGU ASML。


ASML年報2021年199在2021年期間,我們沒有記錄減值費用(2020年:000萬歐元;2019年:000萬歐元)。截至2021年12月31日,未來5年及以後無形資產的估計攤銷費用:歐元,以百萬為單位,2022 428.5 2023 383.7 2024 481.3 2025 423.7 2026 366.4此後769.3總計2,852.9 13.財產、廠房和設備淨額會計政策財產、廠房和設備按成本減去累計折舊和累計減值損失。ASML製造的資產成本包括直接製造成本、生產管理費用和在建設期間為符合條件的資產產生的利息成本。物業、廠房及設備於其估計使用年限內於綜合損益表中按直線原則折舊,但未折舊的土地除外。根據經營租賃租賃給我們客户的評估系統按成本作為物業、廠房和設備資本化,並在各自的租賃期內折舊。租賃期屆滿後歸還給ASML的租賃資產要麼被帶回房地產、廠房和設備,因為它們將被D&E內部使用,要麼被轉移回庫存進行返工和出售。擬出售但首先在內部使用一年以上用於研發目的的原型、工具和設備的賬面價值從庫存重新分類到財產、廠房和設備,並在內部使用時折舊。當研發活動不再需要時,資產的賬面價值被重新歸類到庫存並重新處理,以準備出售給我們的客户。在我們的財產、廠房和設備轉移計劃中,這些轉移被報告為進出庫存的淨非現金轉移。物業、廠房及設備如有跡象顯示賬面值可能無法按使用年限的現金流量預測收回,便會評估其減值。下表顯示了房地產、廠房和設備各自的使用年限:估計使用年限建築物和建築5-45年機器和設備1-7年租賃改進1-10年傢俱、固定裝置和其他3-5年


ASML年度報告2021年200物業、廠房和設備包括以下內容:歐元,以百萬歐元計土地和建築機械和設備租賃改善傢俱、固定裝置和其他總成本餘額截至1月1日,2020年2,036.5 1,587.8 301.0 377.7 4,303.0通過業務組合進行的收購49.1 65.7-10.3 125.1新增359.3 263.0 45.7 43.4 711.4處置(0.4)(53.6)(5.2)(9.0)(68.2)進出庫存的非現金淨額-(23.9)-(23.9)匯率變化的影響(12.3)(10)。1)(1.2)(1.8)(25.4)12月31日的結餘,2020年2,432.2 1,828.9 340.3 420.6 5,022.0新增372.7 389.6 33.2 65.3 860.8撤資(17.9)(13.4)-(4.7)(36.0)處置(0.5)(199.1)(7.5)(70.3)(277.4)進出庫存的非現金淨流動-11.9--11.9匯率變化的影響17.2 10.8 2.6 3.2 33.8在12月31日的結餘,2021年1月1日累計折舊及減值結餘2,803.7 2,028.7 368.6 414.1 5,615.12020年746.3 746.3 1,022.7 281.3 253.4 2,303.7折舊102.0 186.2 21.4 42.1 351.7減值費用-2.7--2.7處置(0.1)(51.6)(4.7)(9.0)(65.4)進出庫存的非現金淨額-(29.9)-(29.9)匯率變化的影響(5.6)(3.9)(0.7)(0.9)(11.1)12月31日的結餘,2020年842.6 1,126.2 297.3 285.6 2,551.7折舊95.6 167.1 15.9 43.0 321.6減值費用3.1 8.2 0.2-11.5撤資(0.6)(4.4)-(2.5)(7.5)處置(0.4)(181.2)(3.9)(69.7)(255.2)進出庫存的非現金淨額-(7.9)--(7.9)12月31日匯率變動的影響7.4 7.6 1.5 1.7 18.2餘額,2021年947.7 1,115.6 311.0 258.1 2,632.4賬面金額2021年12月31日1,589.6 702.7 43.0 135.0 2,470.3 2021年12月31日1,856.0 913.1 57.6 156.0 2,982.7截至2021年12月31日,賬面金額包括在建資產6.959億歐元(2020年:6.764億歐元),主要包括土地和建築物,以及機械和設備。截至2021年12月31日,土地賬面價值達1.375億歐元(2020年:1.024億歐元)。2021年增加的土地和建築,以及傢俱、固定裝置和其他,與建設ASML的物流設施、EUV 0.55 NA(High-NA)工廠和我們位於Veldhoven的總部的辦公空間有關,以支持我們的持續增長。2021年在機械和設備方面的新增主要涉及升級和擴大生產工具,以支持我們的業務增長,以及對新技術原型的投資。2021年增加的租賃改進涉及為美國和韓國的租賃物業安裝乾淨的房間和辦公空間。於二零二一年期間,我們並無訂立任何需要進一步改善租賃投資的額外租約。


2021年201ASML年度報告綜合損益表包括以下折舊費用:截至2019年12月31日的年度(歐元,以百萬為單位)2019年2021年銷售成本196.1 205.9 188.6研發成本117.2 119.9 101.4 SG&A 12.0 25.9 31.6總折舊325.3 351.7 321.6 14.使用權資產和租賃負債會計政策我們在開始時確定安排是否為租賃。租賃計入綜合財務狀況表中的使用權資產、應計及其他流動負債、應計及其他非流動負債。我們有一個無形租賃,其相關租賃負債計入長期債務的當前部分,長期債務計入我們的綜合財務狀況表,因為這與管理層對該租賃的看法一致。使用權資產代表我們在租賃期內使用標的資產的權利,租賃負債代表我們因租賃而產生的支付租賃款項的義務。使用權資產及租賃負債於開始日期根據租賃期內租賃付款的現值確認。由於我們的租賃不提供隱含利率,我們使用基於開始日期可獲得的信息的遞增借款利率來確定租賃付款的現值。使用權資產包括在生效日期或之前支付的任何租賃付款,並因租賃獎勵而減少。我們的使用權資產估值可能包括在合理確定我們將行使該選擇權時延長或終止租約的選擇權。租賃費用在租賃期內按直線原則確認。我們有租賃和非租賃組件的租賃協議。租賃部分與非租賃部分分開核算。租賃和非租賃組成部分之間的對價分配是根據租賃合同中包括的租賃組成部分的相對獨立價格計算的。使用權資產包括以下租賃:截至2012年12月31日的年度(歐元,百萬歐元)2020年2021年物業288.9 149.7汽車7.6 6.7設備34.1-倉庫11.0 7.5其他3.3使用權資產344.9 164.8 ASML擁有我們在荷蘭維爾德霍温總部用於製造、供應鏈管理和一般行政的大部分房地產。在我們的其他地點,我們在世界各地的許多物業都是租賃的。2020年的使用權資產包含1.499億歐元的設施和工具,這些設施和工具與我們與Carl Zeiss SMT的High-NA協議有關,資金由ASML預付。這項協議被一項新的框架協議取代。在新協議簽署後,這些資產不再符合租賃的定義。它們在2021年被歸類為其他資產的一部分。詳情見附註26關聯方。租賃負債分為流動負債和非流動負債:截至2012年12月31日的年度(歐元,單位:百萬)2020年2021年流動負債51.2 46.6 120.3租賃負債189.1 166.9


ASML 2021年年報202截至2021年12月31日的年度,租賃負債減少2220萬歐元,主要是由於預定的租賃付款,部分被新的租賃合同抵消。綜合損益表包括以下與這些租賃有關的折舊費用:截至12月31日年度(歐元,單位:百萬)2019 2020 2021物業51.0 51.7 52.2汽車8.1 5.5 4.8設備4.5 7.0-倉庫4.5 6.6 3.0其他12.4 5.9 2.4折舊使用權資產80.5 76.7 62.4與租賃負債有關的現金流總額如下:與租賃相關的加權平均剩餘租賃期限和加權平均貼現率如下:截至12月31日的年度(歐元,單位:百萬)2019 2020 2021加權平均剩餘租賃期限(月)118 147 62加權平均貼現率(%)2.1%1.3%1.9%15應計負債和其他應計負債及其他負債包括以下內容:截至12月31日的年度(歐元,應支付的成本233.9 352.0與人員有關的項目757.4 864.7租賃負債1 176.3 161.7準備金84.8 91.2標準保修準備金119.1 145.3其他12.068.9應計負債和其他負債1 383.5 1 683.8減去:應計負債的非流動部分257.5 251.1應計負債和其他負債的流動部分1 126.0 1 432.7 1.經營租賃負債的進一步詳情見附註14使用權資產和租賃負債截至2021年12月31日,應支付的成本包括增值税應付款和供應商提供的未計費服務的應計成本,包括合同工、外包服務和諮詢。與人員有關的項目主要包括應計年度短期激勵獎金計劃、應計休假天數、應計養老金保費、應計工資税和應計假期津貼。應計人事相關項目較上年有所增加,主要是由於我們的業務持續增長,導致員工人數增加。


ASML年度報告2021年203 16.長期債務、財務收入和財務成本會計政策長期債務是指未經政府當局登記而私下發行的債務,可根據已簽署的協議的條款支付給他人。長期債務最初按公允價值確認,隨後按攤銷成本計量。只要集團有權無條件地在報告期後至少12個月內延遲清償債務,債務就符合長期債務的條件。與長期債務有關的應計利息和付款作為應計負債和其他負債的一部分入賬。利息和其他費用應隨着商定期限內的時間推移而應計和記錄,無論利息是在何時收到或支付的。長期債務包括:截至2021年12月31日的年度(歐元,以百萬為單位)2020年7月發行的5億歐元0.625%優先債券,2022年7月7日到期的本金,2013年9月發行的7.5億歐元3.375%的優先債券,2023年9月19日到期的本金,2016年7月發行的780.6歐元10,000,1.375%的優先債券,2026年7月7日到期的本金,賬面金額1028.0 1,003.2歐元7.5億1.625%2016年11月發行、本金將於2027年5月28日到期的優先債券,賬面金額795.4 769.3歐元7.5億0.250%2020年2月發行、本金將於2030年2月25日到期的優先債券,賬面金額740.7 741.7歐元7.5億0.625%2020年5月發行的優先債券、本金2029年5月7日到期的利息,賬面金額746.8 747.1用柏林Glas購入的債務36.4其他8.25.3長期債務4,678.2 4,584.1減少:長期債務的當前部分15.4 509.1長期債務的非流動部分4,662.8 4,075.0所有優先票據均可隨時通過支付全額溢價贖回全部或部分,除非先前贖回,否則將在到期日按本金的100%贖回。標準保修準備金基於產品的歷史性能和履行保修義務所需的總預期成本。我們每年根據最新的實際歷史保修成本和預期的未來保修成本來評估和更新標準保修準備金。2021年和2020年標準保修準備金的總變化如下:截至2012年12月31日的年度(歐元,以百萬為單位)2021年年初餘額128.4 119.1 137.1年度新增188.6準備金使用情況(145.9)(162.8)匯率影響(0.5)119.1年末餘額119.1 145.3


ASML年報2021年204根據優先票據和其他借款安排償還本金的義務截至2021年12月31日:歐元,2022年508.6 2023年755.9 2024年4.5 2025年4.5 2026年1 004.5此後2,263.6 2022年到期債務總額4,541.6,該等債務主要涉及2022年7月7日到期的優先票據的本金償還。其後年度主要用於償還長期優先票據的本金。歐元債券下表彙總了我們的未償還歐元債券的賬面價值,包括用於對衝歐元債券公允價值變化的利率掉期的公允價值:截至2021年12月31日的年度(歐元,百萬歐元)2020年2021年攤銷成本金額4,474.1 4,478.5公允價值利率掉期1 140.4 63.9賬面金額4,614.5 4,542.4 1.利率掉期的公允價值不包括應計利息。我們使用利率互換,通過調整可用現金和計息債務的利息條款,將集團的淨利息敞口降至最低。該等利率互換的公允價值變動於綜合財務狀況表衍生金融工具項下記錄,而歐元債券的賬面值則根據該等公允價值變動作出調整。我們沒有就2020年發行的歐元債券進行額外的利率互換。下表彙總了我們的歐元債券的估計公允價值:截至12月31日的年度(歐元,以百萬為單位)2020 2021本金金額4,500.0 4,500.0賬面金額4,614.5 4,542.4公允價值1,798.8 4,673.9 1。我們的歐元債券的公允價值是根據2021年12月31日的報價市場價格估計的。公允價值偏離本金金額,是由於我們發行了固定票面利率的歐元債券以來,市場利率和信用利差發生了變化。下表彙總了融資活動引起的負債變化,包括現金流量和非現金變化引起的變化:歐元,以百萬歐元為單位的長期債務租賃負債在2020年1月1日的總餘額3,098.1 10.2 3,108.3現金流量1,486.3(2.8)1,483.5非現金變化:公允價值調整25.6-25.6通過柏林Glas業務組合獲得的債務50.7 4.8 55.5其他4.7 0.6 5.3 12月31日的餘額,2020年4,665.4 12.8 4,678.2現金流量(7.5)(4.6)(12.1)非現金變化:公允價值調整(76.5)-(76.5)其他(2.5)(3.0)(5.5)截至2021年12月31日的餘額4,578.9 5.2 4,584.1


2021年ASML年報205收購柏林Glas的債務柏林Glas的貸款包括一筆2,410萬歐元的抵押貸款,年利率為0.5%,於2034年償還,多家金融機構的循環信貸安排,年利率在0.8%至1.2%之間,至2024年每年償還。與2020年相比,債務有所減少,原因是2021年償還了債務。信用額度我們與多家銀行保持着可用承諾信貸安排,截至2021年12月31日和2020年12月31日,總額為7.0億歐元。截至2021年底和2020年底,承諾信貸安排下沒有未償還的金額。這筆7.0億歐元的貸款於2019年7月3日重新談判,原到期日為2024年7月3日。該設施包括兩個延長一年的選項。第二次延期一年是在2021年6月。這將期限從2025年7月延長至2026年7月。根據這一信貸安排,未償還金額將產生Euribor加保證金的利息。利潤取決於我們的信用評級和ESG分數。我們有一個8500萬歐元的未承諾擔保機制,根據該機制,可以向第三方提供正常業務過程中的擔保,如海關或租金擔保。截至2019年,ASML為我們的中國子公司提供了1.3億歐元的未承諾信貸安排。未承諾信貸安排包括銀行擔保、備用信用證以及最高可達7500萬歐元的預付款。在這項貸款下,沒有未償還的金額。未承諾貸款項下的未償還金額將根據提款時的市場情況計入利息。融資成本融資成本為5460萬歐元(2020年:4330萬歐元;2019年:3660萬歐元)。這些支出主要涉及我們的歐元債券的利息支出、利率掉期和對衝、攤銷融資成本以及現金和現金等價物的負利息。17.承付款和或有承付款我們有各種合同債務,其中一些必須在我們的財務報表中記為負債,包括長期和短期債務和租賃承付款。其他合同義務,即購買義務,一般不需要確認為負債,但需要披露。截至2021年12月31日,我們的合同債務可概括如下:按期限(歐元,以百萬為單位)到期的付款總額1年2年3年4年5年>5年長期債務債務,包括利息1,806.9 570.3 814.2 37.5 37.6 1,037.7 2,309.6租賃債務2 161.7 43.7 35.7 21.3 16.6 15.4 29.0購買債務8,527.4 6,974.0 814.1 405.7 223.4 74.2 36.0合約債務總額13,496.0 7,588.0 1,664.0 464.5 277.6,127.3 2,374.6 1.長期債務主要與我們的歐洲債券的本金及利息支付有關。關於不包括利息支出的金額和進一步的細節,請參閲附註16長期債務、利息和其他成本。2.詳情見附註14使用權資產和租賃負債。在正常業務過程中,我們對供應商負有采購義務,主要涉及我們運營所需的商品和服務。截至2021年12月31日,與我們的大部分購買義務有關的協議的一般條款和條件包含一些條款,使我們能夠根據未來銷售的時間,將訂購的商品和服務的交付推遲或取消到採購協議中指定的日期。我們通常與供應商商定的條款和條件給了我們額外的靈活性,使我們能夠根據我們所在行業固有的週期性和技術發展來調整我們的採購義務,以適應我們的要求。或有事項ASML受到訴訟、訴訟和其他實際或潛在索賠的影響。此外,ASML的客户可能會受到第三方的侵權索賠,指控這些客户在半導體產品製造中使用的ASML設備和/或與ASML設備的使用相關的方法侵犯了向這些第三方頒發的一項或多項專利。如果這些索賠成功,ASML可能被要求賠償這些客户因該侵權行為而遭受的部分或全部損失或評估的損害。


ASML年度報告2021年206 18.與任何訴訟和索賠相關的人員費用和員工信息,我們的管理層根據相關事實和法律原則,評估不利(或有利)結果的可能性,以及損失(或收益)的金額是否可以合理估計。在這些評價中需要作出判斷,包括對所稱索賠的有效性以及法律和行政訴訟的可能結果作出判斷。然而,這些訴訟的結果受到一些我們無法控制的因素的影響,最明顯的是與法院和行政機構的預測決定有關的不確定性。此外,對與法律和行政訴訟有關的潛在費用(或收益)的估計常常不能進行任何敏感性分析,因為索賠人提出的損害估計或和解提議可能與最終結果幾乎沒有關係。最後,在任何特定訴訟中,我們可能同意和解或終止我們認為最終會勝訴的索賠或訴訟,如果我們認為這樣做,與其他相關的商業考慮因素結合在一起,比參與昂貴和曠日持久的訴訟更有效,而訴訟的結果是不確定的。截至2021年12月31日,管理層已確定ASML沒有在我們的綜合財務狀況報表中列報的每一年被認為可能或合理地可能存在的任何重大或意外事件。所有薪酬員工的人事支出如下:截至2019年12月31日的年度(歐元,以百萬為單位)2019年2021年工資和薪金2,124.4 2,519.6 2,842.7社會保障支出181.9 208.1 249.8養老金和退休支出152.5 182.6 229.2股份支付74.6 53.9 117.5員工支出2,533.4 2,964.2 3,439.2人事支出持續增加主要是由於薪酬員工人數的增加支持我們業務的持續增長。2020年的人事費用不包括柏林GLAS的任何費用,因為ASML使用一個季度的滯後來合併柏林GLAS。全職員工的平均就業人數為:全職員工總數2019 2020 2021荷蘭11,376 12,812 14,222全球全職員工22,192 24,727 28,223截至12月31日,各行業全職員工和臨時員工總數為:截至2019年12月31日的年度(全職員工總數)2019年2021客户支持5,953 6,429 7,485製造和供應鏈管理5,933 7,680 8,237戰略供應管理326 346 707一般與行政1,898 2,061 2,761銷售和成熟產品和服務624 744 766研發10,166 10,813 12,060 24,900 28,073 2,016:臨時員工1,681,459,2,155員工23,219,614,29861短期激勵計劃我們為員工制定了年度績效相關短期激勵(STI)獎金計劃。根據這些計劃,員工的獎金支出取決於員工的工作級別、獎金計劃的類型和公司/個人的表現。員工的獎金支出(不包括管理委員會)在其年度基本工資總額的117.0%至0%之間。2021年STI獎金作為綜合財務狀況表中應計負債和其他負債的一部分應計,將於2022年第一季度支付。


ASML年度報告2021 207 19.員工福利會計政策固定繳款退休福利計劃的繳款在員工提供了使其有權獲得繳款的服務後被確認為費用。向國家管理的退休福利計劃支付的款項作為對固定繳款計劃的付款處理,如果我們在計劃下的義務與固定繳款退休福利計劃中產生的義務相同。我們維持一個多僱主工會固定收益養老金計劃和各種其他固定繳款養老金計劃,覆蓋我們的大部分員工。ASML將其多僱主定義福利計劃視為固定繳費計劃,原因如下:·ASML隸屬於一個全行業的養老基金,並與其他參與公司共同使用養老金計劃·根據養老金計劃的規定,這些參與公司對養老基金的唯一義務是支付年度保費負債。參與計劃的公司沒有任何義務來支付養老金計劃可能產生的任何赤字。他們也沒有要求任何潛在的盈餘我們所有員工在截至2021年12月31日、2020年和2019年12月31日的年度的養老金和退休費用是:截至12月31日的年度(歐元,以百萬為單位)2019年2021年基於多僱主工會計劃的養老金計劃96.6 126.8 161.7基於固定繳費和其他計劃的養老金計劃55.9 55.8 67.5養老金和退休費用152.5 182.6 229.2根據我們經營的行業有效的集體談判協議制定的多僱主工會計劃,沒有到期日,荷蘭有15,414名符合條件的員工(佔我們總薪資員工總數的51.6%)參加了多僱主工會計劃。我們的多僱主工會計劃的任何期間的定期淨養老金成本是該期間所需的僱主繳費金額。這一多僱主工會計劃由PME(Stichting Pensioenfonds Van De Metalektro)管理,該計劃涵蓋約1,466家公司和約167,768名繳費成員。每一家參與的公司都根據相同的繳費率繳納保費。這一繳費率可以根據多僱主工會計劃的覆蓋率每年浮動。2021年,貢獻百分比為27.6%(2020年:22.7%,2019年:22.7%)。2021年,我們對這一多僱主工會計劃的貢獻(包括員工支付的保費)佔該計劃總貢獻的13.6%(2020年:14.0%,2019年:11.7%)。2022年,我們預計將為該計劃貢獻約2.4億歐元(包括員工支付的保費)。每個僱員的養老金權利是以僱員在受僱期間的平均工資為基礎的。PME多僱主工會計劃在全球範圍內監測其風險,並受到荷蘭政府當局的監管。根據荷蘭法律(《荷蘭養老金法》),必須根據具體標準對多僱主工會計劃進行監測,包括該計劃的資產與其債務的覆蓋率。覆蓋率的計算方法是將基金資本除以養老金負債總額,並以實際市場利率為基礎。截至2021年12月31日,公共管理教育的覆蓋率提高到107.9%(2020年12月31日:97.2%)。2021年的養老金支出沒有減少,因為PME利用了一項延長的臨時部長豁免規定。法律規定的最低覆蓋率為104.3%(2020年:104.3%)。已經制定了一項恢復計劃,以改善管理委員會和其他員工的STI獎金支出如下:截至2019年12月31日的年度(歐元,以百萬為單位)2019年2021年管理委員會5.1 5.4 4.4前管理委員會--0.2其他員工269.1 402.5 423.5 STI獎金支出總額274.2 407.9 428.1


ASML年度報告2021年208覆蓋率接近118%。ASML沒有義務支付養老基金可能產生的任何赤字,我們也沒有任何潛在盈餘的要求。固定繳費和其他養老金計劃我們還參加了其他幾個固定繳費養老金計劃(在荷蘭境內和境外),我們為這些計劃支付的費用等於相關時期的僱主繳費。我們美國員工的遞延薪酬計劃我們有一個不受限制的遞延薪酬計劃,允許特定的管理層或高薪員工推遲部分工資、獎金和佣金。該計劃允許我們將額外的金額貸記到參與者的賬户餘額中。參與者將他們的資金分配給計劃中可用的投資。參與者選擇在其終止僱用或退出選舉的較早時間後,至少在延期3年後的未來期間收到他們的資金。在2021年、2020年和2019年,與該計劃相關的支出幾乎為零。截至2021年12月31日,我們在遞延薪酬計劃下的負債為8240萬歐元(2020年:6830萬歐元)。相關薪酬計劃資產為8140萬歐元(2020年:6700萬歐元)。20.基於股份的支付ASML為其員工制定了以下計劃:·長期激勵獎金計劃·期權計劃·員工購買計劃長期激勵獎金計劃我們的LTI計劃由一個總體員工傘形股票計劃涵蓋,該計劃於2014年1月1日生效,覆蓋所有員工。根據這項員工保護傘計劃授予股權激勵的主要目的是繼續在國際勞動力市場吸引、獎勵和留住合格和經驗豐富的行業專業人員。員工保護傘計劃下的所有授予通常有2.5至3年的歸屬期限,並受業績和/或服務標準的限制。作為我們長期激勵(LTI)獎金的一部分,員工可以獲得基於服務或績效份額的薪酬計劃。對於服務型計劃,股票在授予日期授予,在服務了一段時間後,參與者在授予日期獲得這些股票。對於績效計劃,相同的條件適用於服務類型計劃。此外,股票是根據公司特定的業績標準有條件地授予和獎勵的,這些標準可以分為市場和非基於市場的要素。這些股份在服務期結束後歸屬,並在歸屬日達到業績。大會批准通過管理委員會的最新薪酬政策和擬發行的股票數量。最新的薪酬政策包括LTI計劃的目標和最高水平、業績衡量標準和支付區百分比。針對員工的政策由管理委員會批准。股東大會還批准了對董事會發行/授予普通股的限制和限制、限制或排除股東應計優先購買權的限制以及代表公司回購普通股的限制和限制。下表顯示了2021年批准的LTI業績計劃的業績標準和相應的權重。LTI績效計劃標準市場/非市場要素權重總股東回報市場30%ROAIC非市場40%技術領先指數非市場20%可持續性非市場10%總計100%


2021年ASML年度報告209 LTI業績計劃計算股票公允價值的最重要假設,其中包括基於市場的業績標準,截至2019年12月31日的年度2019年2021年授予日以歐元計算的股價199.5 270.7 462.9預期波動率ASML 29.8%28.9%38.5%預期波動率PHLX指數24.8%24.7%35.3%歸屬期間2.5年2.9年2.9年股息收益率1.1%0.9%0.6%無風險利率(歐元區)(0.8)%(0.6)%(0.8)%風險免息(美國)1.8%1.5%0.2%LTI計劃費用,包括管理委員會在內,如下:截至12月31日的年度(歐元,已發生支出總額74.6 53.9 117.5遞延税項資產變動(確認為以股份為基礎的股權支付)8.2 9.0 14.2已確認所得税優惠(不包括超額所得税優惠)5.9 6.6 8.2未來期間的預期支出總額95.8 85.9 125.4確認這些預期支出的加權平均期間1.6年1.6年1.7年有關本年度授予和歸屬的股份的詳情如下表所示:-以美元計價的截至2019年12月31日的年度2020 2021 20192020 2021年歸屬日的股份公允價值總額(單位:百萬)58.7 124.9 156.9 54.9 133.9 164.0已授予股份的加權平均公允價值190.33 297.05 547.79 206.90 302.75 498.64會計政策基於市場的要素的公允價值在授予日計量,合併了預期歸屬和歸屬時的預期價值,使用量身定做的蒙特卡羅模擬模型。由於參與者於歸屬期間無權享有應付股息及投票權,服務計劃及業績計劃的非市場成分的公允價值為授出日期的股價減去歸屬期間預期股息的現值。服務和非市場業績計劃的條件得到滿足的可能性被評估為該公司對最終將授予的股權工具數量的最佳估計的一部分。參與者有權在授予時獲得有條件的公司股票授予。績效計劃受到懸崖歸屬的影響,並按直線記賬。純服務計劃受分級歸屬的影響。因此,該計劃的每一期都被視為具有單獨公允價值的單獨贈款。這意味着每筆分期付款都將單獨計量,並計入相關歸屬期間的費用。在符合所有其他履約條件的情況下,按固定歸屬水平(歸屬預期計入公允價值)於歸屬期間確認以市場為基礎的元素的開支。非市場元素及服務計劃的開支於歸屬期間按預期歸屬水平確認,並於歸屬期間按需要更新,最後更新/調整於歸屬日期。於獎勵歸屬期間,所有以股份為基礎的薪酬開支均確認為人事開支,並於權益中作出相應入賬。以股份為基礎的薪酬開支計入職能分組綜合經營報表中與支付給領取以股票為基礎的獎勵的僱員的薪酬相同的一項或多項收入錶行。


截至2021年12月31日的有條件流通股狀況和截至2021年12月31日的年度變化摘要如下:以美元計價的股份數目授予日的加權平均公允價值授予日的有條件公允價值120,665 547.79 69,440 225.26授予的有條件股份(222,085)273.86(205,945)270.80沒收的(1,469)458.46(11,248)349.44截至12月31日的已發行的有條件股份2021年452,205 303.32 297,001 416.07期權計劃自2017年以來,我們不再授予任何期權,但仍有未償還期權可供員工行使。會計政策股票期權授予日的公允價值是用布萊克-斯科爾斯期權估值模型估算的。這種布萊克-斯科爾斯模型需要使用假設,包括預期的股價波動、每筆獎勵的估計壽命和估計的股息率。模型中使用的無風險利率是基於一個指數確定的,該指數由以歐元計價的歐洲政府機構債券組成,具有高信用評級,其壽命等於股權結算的基於股份的付款的預期壽命。我們的期權計劃通常在3年服務期內授予,任何未行使的股票期權在授予日期後10年到期。授予的期權具有固定的行權價格,相當於我們股票在授予日在阿姆斯特丹泛歐交易所上市的收盤價。以行權價購買股份與有關員工通過扣減他們的工資進行結算,行使股票期權時發行的股票從我們的庫存股中扣除。已行使和未行使的股票期權的詳情列於下表:截至2019年12月31日的歐元計價年度2020年2021年股票期權行使日的加權平均股價201.52 302.20 583.33 225.70 355.44 658.16已行使股票期權的總內在價值(單位:百萬)4.3 4.8 5.7 2.3 3.7 4.1當前可行使期權的加權平均剩餘合同期限(年)4.16 3.55 2.81 4.40 3.662.93可行使股票期權的內在價值合計(以百萬為單位)17.7 22.4 36.7 11.8 16.9 24.9已行使股票期權的內在價值合計(以百萬為單位)17.7 22.4 36.7 11.8 16.9 24.9截至12月31日的股票期權數量和加權平均行使價格,以美元計價的期權數量每股普通股的加權平均行權價(EUR)未償還的期權加權平均行權價(美元)2021年1月1日68,540 70.02 42,255 86.87可行使的期權數量-行使(10,717)48.77(7,004)69.32沒收100 28.77--到期-未行使的期權數量2021年12月31日57,923 73.87 35,251 90.36可行使的期權2021年57,923 73.87 35,251 90.36 1.自2017年起,我們不再向員工授予期權。


ASML《2021年年度報告》211關於相關年度行使的股票期權和截至12月31日的未償還股票期權的詳細情況,2021年以歐元計價的行使價格範圍(歐元)未償還期權的加權平均剩餘合約年期(年)行使價格範圍(美元)未償還期權的加權平均剩餘合約年期(年)25-40 234 0.08 25-40-0.00 40-50 5,902 0.80 40-50 291 0.05 50-60 5,376 1.95 50-60 1,699 0.62 60-70 12,355 1.94 60-70 393 1.06 70-80 10,92035 70-80 843 1.30 80-90 11,625 3.85 80-90 9,036 2.89 90-100 11,511 3.69 90-100 16,062 3.02 100-110-0.00 100-110,927 3.74總計57,923 2.81總計35,251 2.93員工購買計劃我們還向我們的工資單員工提供員工購買計劃,但管理委員會除外,他們被排除在此計劃之外。通過這項計劃,工資單員工有機會使用他們每月的工資來購買我們的股票。員工可以參加該計劃的最高金額為其年度基本工資總額的10.0%。當員工保留股份至少12個月時,我們將在初始參與金額的基礎上支付20.0%的現金紅利。會計政策員工採購計劃按權責發生制核算。員工購買計劃的股票按季度發行,股票購買價格基於我們上市股票在授予日的收盤價,也就是我們提交季度報告的第二天。員工購買的股票從我們的庫存股中扣除。21.所得税會計政策所得税是指當前税種和遞延税額的總和。目前的納税情況是根據本年度的應納税基數計算的。應課税基數與綜合損益表所報告的結果不同,因為它不包括在以前或以後年度應課税或應扣除的收入或費用項目,例如應課税基數與財務業績之間的時間差異,而且它還不包括從未應課税或可扣除的項目,例如應課税基數與財務結果之間的永久性差異。本公司的税務狀況採用於綜合財務狀況報表日期已實施或實質實施的税率計算。遞延税項按綜合財務報表中資產及負債的賬面值與計算應課税溢利所用的相應税基之間的差額確認。遞延税項負債就所有應課税暫時性差異予以確認,而遞延税項資產則在可能存在可抵扣暫時性差額的應課税利潤的範圍內予以確認。如暫時性差額因商譽或在交易中對其他資產及負債的初步確認(業務合併除外)而不影響應課税溢利或會計溢利,則不會確認該等資產及負債。遞延税項資產的賬面值於每個綜合財務狀況報表日期審核,並在不再可能有足夠的應課税溢利可收回全部或部分資產的情況下遞減。2021年,ASML從該計劃的股票發行中獲得4900萬歐元(2020年:3790萬歐元,2019年:2720萬歐元)。


ASML年度報告2021年212遞延税項資產及負債乃根據綜合財務狀況表日期已頒佈或實質頒佈的税率(及税法),按預期於清償負債或已變現資產期間適用的税率計量。遞延税項負債及資產的計量反映我們預期於報告日期收回或結算資產及負債賬面值的方式所產生的税務後果。遞延税項資產及負債於綜合財務狀況表內予以抵銷,當有法律上可強制執行的權利將税項資產與税項負債抵銷,而該等税項資產及負債與同一税務機關徵收的所得税有關,而我們打算按淨額結算我們的税項資產及負債。受所得税處理不確定性影響的綜合損益表、利息和與税種負債相關的罰金計入所得税支出。本期税項及遞延税項於綜合損益表中確認為開支或收入,除非該等税項與直接記入保監處貸方或借方或直接計入權益的項目有關,在此情況下,該等税項亦直接在權益中確認,或產生於業務合併的初始會計科目。就業務合併而言,在計算商譽或釐定吾等在收購實體的可識別資產及負債的公允淨值中的權益超出業務合併的成本時,計及税務影響。在計算我們的納税義務時,涉及複雜税法應用中的不確定因素。我們對任何不確定的税收狀況的潛在結果的估計都具有高度的判斷性。然而,我們相信,我們已經為所得税待遇方面存在不確定性的税收頭寸做了足夠的準備。以與我們的預期不一致的方式解決這些不確定性可能會對我們的運營結果、財務狀況和現金流產生實質性影響。當可能發生經濟資源外流時,我們確認了受所得税處理不確定性影響的税收頭寸的負債。對受所得税處理不確定性影響的税務頭寸負債的計量,基於最可能金額法或基於ASML對基礎風險的最佳估計的預期值法。所得税支出的構成如下:截至12月31日的年度(歐元,單位:百萬)2019年2021年當期税額(472.2)(786.8)(1,482.4)遞延税金343.4 186.1 418.6所得税支出(128.8)(600.7)(1,063.8)本年度税費(518.9)(747.5)(1,461.1)上一年税費46.7(39.3)(21.3%)本期税費(472.2)(786.8)(1,482.4)税收損失和税收抵免確認的變化(7.6)56.9(37.2)上一年度税收支出9.8 27.0 1.5税率變化-(8.4)(1.0)暫時性差異、税收損失和税收抵免的產生和沖銷341.2 110.6 455.3遞延税收支出343.4 186.1 418.6荷蘭2021年、2020年和2019年的法定税率為25.0%。其他司法管轄區的税額按相關司法管轄區的現行税率計算。2021年有效税率提高到15.2%,而2020年為14.3%。較高的税率主要是由於荷蘭的創新盒子税率從7%提高到2021年的9%。


ASML年度報告2021年213所得税支出從荷蘭法定税率到實際所得税税率的對賬如下:截至12月31日的年度(歐元,2019年%1 2020年%1 2021%1所得税前收入2,691.7 100.0%4,208.9 100.0%6,999.3 100.0%所得税支出基於ASML的國內税率(672.9)25.0%(1,052.2)25.0%(1,749.8)25.0%外國司法管轄區税率的影響4.9(0.2%)1.0%(4.6)0.1%對免税收入7.2(0.税收優惠的調整342.5(12.7)%530.0(12.6)%753.9(10.8)%46.7(1.7)%(39.3)0.9%(21.3)0.3%有關往年遞延税項的調整9.8(0.4)%27.0(0.6)%1.5--%負債變動對於不確定的税務狀況(8.4)0.3%(38.6)0.9%(22.8)0.3%與收購/重組相關的項目89.8(3.3)%--%35.9(0.5)%未確認的遞延税項資產變動7.6(0.3)%(56.9)1.4%(37.2)0.6%聯營公司的投資(19.7)0.7%(20.9)0.5%(46.7)0.7%税率變動的影響--%(8.4)0.2%(1.0)-%其他(抵免)和非應納税項目63.7(2.4)%57.4(1.4)%28.3(0.4)%所得税支出(128.8)4.8%(600.7)14.3%(1,063.8)15.2%1.佔所得税前收入的百分比下表中的各個行項目將在下面進行更詳細的説明。所得税費用基於ASML的國內税率基於ASML的國內税率的所得税費用基於荷蘭法定所得税税率。它反映了本應適用的所得税支出,假設我們的所有收入都應按荷蘭法定税率納税,應納税基數和財務結果之間沒有差異,也沒有適用税收優惠。外國司法管轄區税率的影響我們的部分結果是在荷蘭以外適用不同税率的國家實現的。影響可能每年有所不同,視乎個別海外司法管轄區的税前溢利而定。對過去幾年免税收入的調整在某些司法管轄區,產生的收入的一部分是免税的。隨着事實和情況的變化,這一影響在2020年顯着減弱,並在2021年保持穩定。有關税務優惠的調整有關税務優惠的調整主要涉及因應用荷蘭創新盒子而降低税率,該盒子是荷蘭公司税法下的一項安排,根據該機制,與研發有關的合資格收入於2021年須按9.0%的實際税率徵收。2020年和2019年的有效創新盒子税率為7%。創新盒子福利是根據荷蘭法律和公佈的税收政策確定的,根據該政策,ASML與荷蘭税務當局之間的協議已確認申請,該協議適用於2023年之前的幾年,前提是事實和情況不變。此外,這一類別還包括適用於我們美國集團公司層面的外國衍生無形收入(FDII)扣除的利益。FDII抵扣是美國公司税法下的一項工具,它降低了來自外國市場有形和無形產品和服務的收入的實際税率。2021年的收入高於往年,主要是由於我們荷蘭集團公司税前收益水平的提高導致創新箱收益的增加。有關過往年度當期税項的調整有關過往年度當期税項的調整涉及初步估計的所得税與提交的最終企業所得税報税表或與税務機關達成協議的安排之間的差額。2019年的好處主要與FDII扣除有關,這是我們在2018年首次在美國提交的税務申報中考慮到的。


214有關往年遞延税項的調整有關往年遞延税項的調整亦主要涉及初步估計的所得税與提交的最終企業所得税報税表之間的差額。此外,它還包括對最初記錄的遞延税金頭寸的一些較小的調整。2021年,與前幾年類似,實際税率受到不確定税收狀況負債變動的影響。2021年的這一趨勢主要是由即將與荷蘭和外國税務當局就轉讓定價領域進行的對話,以及我們美國集團公司在FDII扣減方面的不確定性推動的。與收購/重組相關項目的税務影響2019年的影響是由我們於該年完成的HMI集團公司的內部重組推動的。作為重組的結果,一項遞延税項資產在2019年被確認為作為重組的一部分轉移的無形固定資產的賬面與税項差異。在2020年和2021年,這一重組不會對實際税率產生額外影響。2021年的影響涉及部分柏林Glas實體的撤資,即商業交易結果在很大程度上是出於所得税目的的免税。未確認遞延税項資產的變化2020和2021年的影響比2019年更高,主要是由於我們在荷蘭和美國的集團公司在年內確認了研發和預提税收抵免,因此認為這些在未來幾年不太可能實現。對聯營公司的投資本項目包括與我們在卡爾·蔡司SMT Holding GmbH&Co.Kg投資有關的所得税支出。與往年相比,2021年的影響有所增加,主要原因是權益法投資的利潤增加,以及權益投資的外部基差調整後的税務會計後果。税率變化的影響對2021年有效税率的影響是由於荷蘭頒佈的自2022年起將一般企業所得税税率提高到25.8%造成的,這影響了我們荷蘭財政統一的遞延税收資產和負債的估值。其他抵免及非可抵税項目其他抵免及非可抵税項目反映了不可抵扣的預扣税、不可抵扣的共享支出及不可抵扣的餐飲費用等永久性非可抵扣項目對我們法定税率的影響,以及各種税收抵免對我們所得税支出的影響。美國税改年終税位也反映了2017年美國税改的規定,從而考慮到了美國政府發佈的指導意見。因此,FDII最終條例的最新指南已從2021年起適用,而不是上述條例所允許的追溯。關於GILTI和BEAT,已決定將其作為長期項目對待。在綜合財務狀況表上記錄的不確定納税狀況(包括應計利息和罰款)和遞延納税狀況總額的負債如下:截至2012年12月31日的年度(歐元,百萬歐元)2020年2021年不確定納税狀況的負債(203.4)(210.1)遞延納税資產807.4 1,337.6遞延納税負債(198.5)(228.2)遞延和其他納税資產(負債)405.5 899.3


ASML年度報告2021年215不確定税收狀況的責任我們在多個司法管轄區開展業務,在這些司法管轄區,我們受到複雜税法的適用。適用這些複雜的税法可能會導致税收狀況的不確定性。我們的目標是在與税務當局的討論中解決這些不確定因素。我們根據IAS 12/IFRIC 23的要求記錄不確定的税收頭寸,這要求我們估計任何税收頭寸的潛在結果。我們對任何不確定的税收狀況的潛在結果的估計都具有高度的判斷性。我們相信,我們已經為不確定的税收狀況做了足夠的準備。然而,以與我們的預期不符的方式結算這些不確定的税務狀況可能會對我們的綜合財務報表產生實質性影響。根據IAS 12/IFRIC 23的要求,截至2021年12月31日,不確定税收頭寸的負債為2.101億歐元(2020年:2.034億歐元),被歸類為遞延和其他所得税負債。如果確認,這些不確定的税收狀況將影響我們約1.95億歐元福利的有效税率(2020年:1.547億歐元福利)。與所得税負債有關的預期利息和罰金已計入不確定税收狀況的負債和所得税支出。2021年應計利息和罰款共計440萬歐元(2020年:1420萬歐元;2019年:640萬歐元支出)。對不確定税收狀況(不包括利息和罰款)負債的期初和期末餘額的對賬如下:截至12月31日的年度(歐元,百萬歐元)2020 2021年餘額,1月(153.5)(138.5)期初餘額調整--不同税務管轄區的總列報(27.3%)--毛收入增加--前期税收頭寸(66.3%)(15.7%)毛收入減少--前期税收頭寸0.510.7%毛收入增加--本期税收頭寸(19.3%)(18.0)通過企業合併進行收購--清算106.6 2.5訴訟時效失效14.628.6匯率變動的影響6)不確定税收狀況的總負債(138.5)(143.0)應計利息和罰款餘額(64.9%)(67.1)不確定税收狀況的總負債(203.4)(210.1)我們得出結論,我們對不確定税收狀況的負債是適當的。根據現有信息,我們估計,主要由於訴訟時效到期,未來12個月內不確定税收狀況的負債將減少2,160萬歐元(不包括利息和罰款)。就2020年而言,上期及結算期的税務增加總額主要是與完成我們韓國集團公司層面的税務審計有關。我們在所有開展業務的國家提交所得税申報單,荷蘭、美國、臺灣、韓國和中國是主要司法管轄區。各司法管轄區的報税表仍然開放供查閲的年份如下:國家/地區年份荷蘭2018-2021年美國2015-2021年臺灣2016-2021年韓國2017-2021年中國2011-2021年我們經常接受我們所在司法管轄區的税務和其他機構的審查和審計。我們相信,税項及相關利息和罰金已作足夠的撥備,而任何因審查而作出的調整,預期不會產生重大的不利影響。


ASML年度報告2021年216遞延税項根據綜合財務狀況表中的分類進行調節的遞延税項資產和負債總額的構成如下:遞延税項(歐元,以百萬為單位)2021年1月1日其他綜合損益表2021年遞延税項資產:公司間交易產生的未實現利潤251.1-104.5-6.9 362.5資本化研發支出152.9-100.7-24.2R&D和其他信貸結轉117.2 21.416.4-7.7 162.7庫存37.2-(7.2)-1.531.5遞延收入125.2-288.0-10.0423.2應計和其他負債87.8-5.7-4.698。1安裝和保修準備金16.4-(6.3)-1.2 11.3税額結轉損失27.1-(19.9)-0.2 7.4財產,廠房及設備26.9-(10.8)-2.5 18.6租賃負債6.5-16.2-0.5 23.2無形固定資產143.5-143.5以股份為基礎的付款19.6-(5.9)14.2 0.6 28.5其他臨時差額23.2-7.3(1.0)(2.0)27.5遞延税項資產總額總額1,034.6 21.4 488.7 13.2 57.9 1,615.8未確認遞延税項資產1(122.5)-(37.2)-(7.9)(167.6)遞延税項資產總額,912.1 21.4 451.5 13.2 50.0 1,448.2遞延納税負債:資本化研發支出(154.3)-(39.1)-(193.4)無形固定資產(93.9)2.917.1-(6.0)(79.9)商譽(15.6)-(5.3)-(20.9)使用權資產(6.5)-(16.2)-(0.5)(23.2)財產,廠房及設備(5.4%)-(4.3%)-(1.2%)(10.9%)遞延收入(18.2%)--10.3%--(7.9%)借款成本長期債務(1.6%)--0.1%--(1.5%)其他暫時性差異(7.7%)2.54.5-(0.4%)(1.1)遞延税項負債總額(303.2)5.4%(32.9)-(8.1)(338.8)遞延税項淨資產(負債)608.9 26.8 418.6 13.2 41.9 1,109.4分類如下:遞延税項資產-非流動807.4 1,337.6遞延税項負債-非流動(198.5)(228.2)遞延税項淨資產(負債)608.9 1,109.4 1.上文披露的未確認遞延税項資產涉及研發和其他抵免及可能無法變現的税務影響結轉虧損。


ASML年度報告2021年217遞延税金(歐元,以百萬為單位)2020年1月1日通過業務合併進行的收購合併損益表匯率變化的權益影響12月31日,241.4-12.0-(2.3)251.1資本化研發支出67.4-107.9-(22.4)152.9研發和其他信貸結轉60.8-63.7-(7.3)117.2存貨49.3-(9.0)-(3.1)37.2遞延收入56.8-70.8-(2.4)125.2應計和其他負債73.4 3.8 15.9-(5.3)87.8安裝和保修準備金12.3-5.4-(1.3)16.4納税結轉損失12.5-15.3-(0.7)27.1財產,無形固定資產129.8-13.7--143.5以股份為基礎的付款16.6-(6.2)9.0 0.2 19.6其他暫時性差異20.3 1.8 0.6 0.5 23.2遞延税項資產總額總額781.5 4.6 282.7 9.6(43.8)1,034.6未確認遞延税項資產1(73.6)-(56.9)-8.0(122.5)遞延税項資產總額,淨額707.9 4.6 225.8 9.6(35.8)912.1遞延納税負債:資本化研發支出(111.9)-(42.4)-(154.3)無形固定資產(104.2)(8.9)11.0-8.2(93.9)商譽(6.6)-(9.0)--(15.6)使用權資產(8.1)-1.6--(6.5)財產,--(18.2)借款成本長期債務(1.5)-(0.1)--(1.6)其他臨時差額3.5(5.7)(6.6)-1.1(7.7)遞延税項負債總額(257.2)(16)5)(39.7)-10.2(303.2)遞延税項淨資產(負債)450.7(11.9)186.1 9.6(25.6)608.9分類為:遞延税項資產-非流動遞延税項負債573.4 807.4遞延税項負債非流動(122.7)(198.5)遞延税項淨資產(負債)450.7 608.9 1.上文披露的未確認遞延税項資產涉及可能無法變現的研發及其他抵免及税務影響結轉虧損。税收影響結轉損失和税收抵免截至2021年12月31日確認的結轉虧損和研發及其他抵免中的遞延税項資產幾乎已全部保留。研發和其他信用額度為1.358億歐元,沒有到期日。剩餘的2690萬歐元的研發和其他信用額度的到期日在2022年至2036年之間。4820萬歐元的結轉損失的到期日在2022年至2030年之間。與投資外國子公司有關的未確認遞延納税義務總體上,我們的做法和意圖是將我們非荷蘭子公司的收益無限期地再投資於這些業務,並僅在嚴格必要或適當的時候和法律允許的情況下進行分配。這類非荷蘭子公司的分配所涉税收問題取決於實際分配時適用的當地税收和會計條例。於資產負債表日,並無就境外附屬公司未分配利潤準備確認任何遞延税項負債。這是因為我們能夠控制扭轉暫時分歧的時機,我們認為在可預見的未來,暫時分歧很可能不會逆轉。截至2021年12月31日,未確認的臨時差額總額約為2.834億歐元(2020年:2.4億歐元)。


ASML年度報告2021年218 22.股東權益股本ASML的法定股本為1.26億歐元,分為:股份種類股份總額每股面值投票數700,000,000歐元每股0.09歐元9股普通股699,999,000歐元每股0.09歐元1面值為0.09歐元的已發行普通股和繳足股款普通股面值為0.09歐元的已發行和繳足股款普通股如下:截至2019年12月31日的年度發行面值0.09歐元的普通股419,810,706 416,514,034 402,601,613已發行的普通股面值為0歐元。09 5,848,998 2,983,454 3,873,663合共發行普通股,面值0.09 425,659,704,419,497,488,406,475,276,82,915,935股普通股由286名登記持有人持有,登記地址在美國。由於我們的某些普通股是由經紀人和代名人持有的,美國的記錄持有人數量可能不能代表實益持有人的數量,也不能代表實益持有人居住的地方。每股普通股由900股零碎股份組成。零碎股份使其持有者有權獲得零碎股息,但不賦予投票權。只有那些直接在荷蘭的股份登記簿中持有股票的人,才能持有零頭股份,這些股份由我們在荷蘭的地址為5504Dr Veldhoven,de Run 6501,荷蘭,或在紐約的股份登記簿中持有,由JP Morgan Chase Bank,N.A.,P.O.Box 64506,St.Paul,MN 55164-0506,United States持有。根據荷蘭證券銀行轉賬交易法,通過荷蘭中央證券託管機構EuroClear Nederland維持的存款系統或通過託管信託公司持有普通股的股東不能持有零碎股份。沒有發行普通股B股,也沒有發行累積優先股。本公司股本中的已發行股份並無特別投票權。2012年,我們向三家主要客户-英特爾、臺積電和三星-發行了股票,作為客户共同投資計劃(CCIP)的一部分,以加快ASML對EUV的開發。根據這一計劃,參與客户為某些開發項目提供資金,並投資於ASML的普通股。目前,只有一個參與客户仍然(直接或間接)持有普通股。某些投票權限制適用於與CCIP相關的普通股。有關該等普通股的投票限制載於ASML與有關客户之間的相關協議。CCIP發行的股票由基金會持有,基金會向CCIP參與者發行存託憑證。在CCIP推出時,共發行了96,566,077份普通股存託憑證。自那以後,隨着相關客户在禁售期屆滿後拋售,這一數字有所下降。目前,無論是根據荷蘭法律還是在ASML的公司章程中,對ASML股本中的普通股轉讓都沒有限制。根據ASML的公司章程,累計優先股的每一次轉讓都必須得到監事會的批准。發行和回購股份我們的董事會有權發行普通股和累積優先股,只要股東大會授權它這樣做。管理委員會需要得到監事會的批准才能解決這個問題。大會的授權只能在不超過五年的一定期限內給予,每次可以延長不超過五年。如果股東大會沒有授權管理委員會發行股票,股東大會將被授權根據管理委員會的提議發行股票,前提是監事會批准了這種提議。ASML普通股的持有者擁有優先購買權,優先購買權與他們持有的普通股的總面值成比例。這種優先購買權可以受到限制,也可以被排除在外。普通股持有人對任何為對價而發行的普通股不享有優先購買權,但現金或向員工發行的普通股除外。如獲股東大會授權,經監事會批准,管理委員會有權限制或排除普通股持有人的優先購買權。


ASML年度報告2021年219在我們的2021年年度股東大會上,管理委員會被授權在2021年4月29日至2022年10月29日期間,根據監事會的批准,發行股份和/或其權利,最多佔2021年4月29日我們已發行股本的5%,外加2021年4月29日與合併、收購和/或(戰略)聯盟相關的額外5%的已發行股本。我們的股東還授權管理委員會在2022年10月29日之前,根據監事會的批准,限制或排除普通股持有人的優先購買權,最多不超過我們已發行股本的5%,與發行股份和/或股份權利的一般授權有關,以及與授權發行股份和/或與合併、收購和/或(戰略)聯盟有關的股份權利的額外5%。我們可以隨時回購我們已發行的普通股,但要符合荷蘭法律和我們的公司章程的要求。任何此類回購均須經監事會批准和股東大會授權,授權期限不得超過18個月。在2021年年度股東大會上,管理委員會被授權在2022年10月29日之前進行回購,回購金額最多為2021年4月29日已發行股本的兩倍,回購價格介於所購普通股的面值和這些證券在泛歐交易所阿姆斯特丹交易所或納斯達克市場價格的110%之間。ASML優先股基金會根據荷蘭法律成立的ASML優先股基金會(Stichting Pferente Aandelen ASML)已被授予獲得ASML股本中優先股的選擇權。基金會可在基金會董事會認為涉及ASML的利益、ASML的業務或ASML的利益相關者的利益的情況下行使優先股選擇權。在以下情況下可能會出現這種情況:·公開競購ASML的股票,或者有理由預期這樣的競標將在沒有與ASML就此類競標達成任何協議的情況下進行;或者·基金會董事會認為,一個或多個股東一致行動(試圖)行使投票權,嚴重違反了ASML的利益、ASML的業務或ASML的利益相關者。基金會的目標是照顧到反興奮劑機構及其所維持和/或隸屬於該集團的企業的利益,以儘可能好的方式維護該協會、這些企業和所有相關方的利益,並盡其所能阻止與這些利益相沖突的影響,這可能會影響到該協會和這些公司的獨立性或身份,以及與上述有關或可能對其有利的一切。基金會旨在通過收購和持有ASML資本中的累積優先股以及行使這些股份所附帶的權利,特別是投票權來實現其目標。優先股購股權賦予基金會獲得基金會所需數目的累積優先股的權利,但該數目的累積優先股的總面值不得超過行使優先股選擇權時發行的普通股的總面值。認購價將等於它們的名義價值。在最初發行累積優先股時,只需支付認購價的四分之一,其餘四分之三的面值僅在ASML召回這一金額時支付。行使優先股選擇權可有效稀釋已發行普通股的投票權一半。ASML註銷和償還已發行的累積優先股需要得到股東大會的授權,由管理委員會提出並經監事會批准的相關提議。如果優先股選擇權被行使,並因此發行累積優先股,ASML將應基金會的要求,啟動回購或取消基金會持有的所有累積優先股。在這種情況下,ASML有義務儘快實施回購和相應的取消。註銷將導致償還已支付的金額,並免除支付累積優先股的義務。只有在累計優先股全部繳足股款後,才能進行回購。如果基金會沒有要求ASML在這些股份發行後20個月內回購或註銷基金會持有的所有累積優先股,我們將被要求召開股東大會,以決定回購或註銷這些股份。


ASML 2021年年度報告220基金會獨立於ASML。基金會董事會由來自荷蘭商界和學術界的四名獨立成員組成。基金會董事會於2021年12月31日由下列成員組成:A.P.M.範德普爾先生、S.Perrick先生、A.H.Lundqvist先生和J.Streppel先生。除上述與基金會作出的安排外,ASML尚未建立任何其他反收購裝置。Other Reserve ASML是一家根據荷蘭法律成立的公司。根據《荷蘭民法典》,其他準備金包括在某些情況下必須建立的法定準備金。法定準備金包括套期保值準備金、貨幣換算準備金、資本化發展支出準備金和聯營公司的其他綜合收益。法律準備金不能分配給我們的股東。如果任何法定準備金有負餘額,對我們股東的分配將被限制在負餘額的範圍內。2021年和2020年期間其他儲備的變化情況如下:(歐元,單位:百萬歐元)對衝儲備貨幣轉換儲備資本化發展支出儲備在聯營公司總餘額中所佔的份額1.0 129.9 1,414.9(25.6)1,520.2全面收益表的組成部分:聯營公司的聯營公司所佔的份額--(1.3)(1.3)(1.3)外幣換算--(75.1)--(75.1)金融工具,税後淨額:衍生金融工具的收益(虧損)(21.0)--(21.0)轉移至淨收益(2.3)--(2.3)發展支出--192.5-192.5發展支出貨幣換算-2.62.6--12月31日餘額,2020(22.3)57.4 1,604.8(26.9)1,613.0全面收益表組成部分:來自聯營公司的保單份額-22.0 22.0外幣折算-95.4--95.4金融工具,22.2-22.2發展支出--293.9-293.9發展支出的貨幣換算-(2.1)2.1--2021年12月31日的餘額16.5 16.5 150.7 1,900.8(4.9)2,063.1與我們的海外子公司換算成歐元有關的匯率差額在貨幣換算準備金中確認。被指定為外國業務淨投資對衝的套期保值工具的損益計入貨幣換算準備金。套期保值準備金是指現金流套期保值的有效部分的套期保值損益。分配及釐定淨收益股息可於任何已發行累積優先股首次支付(累積)股息後,從本公司股東大會通過的公司財務報表所顯示的淨收益或留存收益中支付。然而,在法定條文的規限下,管理委員會可酌情在股東大會通過任何財政年度的財務報表前,經監事會事先批准,就普通股派發一項或多項中期股息。經監事會批准,管理委員會可決定保留我們全部或部分淨收入,除累計優先股的股息外,不得分配給股東。未保留的淨收益可以根據股東決議分配給股東,前提是分配不會將股本減少到低於荷蘭法律要求的準備金數額。根據荷蘭法律可分配的現有儲備可在下列情況下提供給大會分配


ASML 2021年年度報告221管理委員會的提案,但須事先獲得監事會的批准。關於現金支付,如果在提供紅利或分派之日的次日之後五年內沒有人索要這種紅利或分派,獲得紅利和分派的權利即告失效。ASML的目標是分配股息,這種股息將隨着時間的推移而增長,每半年支付一次。管理委員會每年在得到監事會事先批准後,考慮到任何中期股息分配,向年度股東大會提交一份關於上一年度宣佈的股息數額的建議。任何給定年度的股息建議將受到可分配利潤、留存收益和現金的可用性的影響,並可能受到我們對未來潛在流動性需求的看法的影響,包括產能投資、營運資本需求、我們研發計劃的資金以及可能不時出現的收購機會。ASML打算宣佈2021年的總股息為每股普通股5.50歐元。認識到2021年11月支付的中期股息為每股普通股1.80歐元,這導致向股東大會提出每股普通股3.70歐元的末期股息建議。2021年的總股息比2020年每股普通股2.75歐元的總股息增加了100%。普通股的股息在任何已發行的累積優先股的淨收益中首先支付(累積)股息後,從我們的年度股東大會採納的財務報表中顯示的淨收益或留存收益中支付。未作為股息分配的淨收入將撥入我們的留存收益。除支付股息外,我們打算根據實際及預期的流動資金需求水平及其他相關因素,透過股份回購或償還資本定期向股東返還現金。2021年7月21日,我們宣佈了一項新的股票回購計劃,將於2023年12月31日之前執行。作為這一計劃的一部分,ASML打算回購金額高達90億歐元的股票,我們預計其中總計45萬股將用於支付員工股票計劃。ASML打算取消回購的剩餘股份。新的計劃取代了之前的60億歐元的2020-2022年股票回購計劃,根據新的股票回購計劃,該計劃尚未全部完成。在2021年,我們回購了14,358,838股(2020年:3,908,429股),總代價為85.603億股(2020年:12.075億股),其中6,601,699股是根據新計劃以45.603億歐元的代價購買的。2021年,我們註銷了13,023,016股(2020年:6,162,395股),其中9,759,021股是根據2020-2022年計劃回購的,3,263,995股是根據2021-2023計劃回購的。股票回購計劃可隨時暫停、修改或終止。根據計劃購入的股份總數(歐元)2021年1月21-31日495,533 455.68 495,533 4,566.7 2021年2月1日-28日1,360,410 474.24 1,855,943,921.6 2021,580,604 469.40 3,436,547 3,179.6 2021年4月1-30日1,128,123 537.04 4,564,670 2,573.8 2021年6月1-30日1,240,714 528.93 5,805,384 1,917.52021年1,204,128 570.95 7,009,512 1,230.0 2021年7月1-31日1,178,129 603.46 8,187,641 8,726.6 2021 1,274,521 674.28 9,462,162 7,867.2 2021年1,188,430 723.11 10,650,592 7,007.8 2021 1,237,721 658.97 11,888,313 6,192.2 2021年11月1-30日1,393,794 726.43 13,282,107 5,179.7 12月1,076,731 687.26 14,358,838,439.7總計14,358,838,596.17


ASML年度報告2021年222 23.每股普通股淨收入每股普通股基本淨收入的計算方法是淨收入除以該期間已發行普通股的加權平均數。攤薄效應採用庫存股方法計算,方法是用淨收入除以該期間已發行普通股的加權平均數加上適用於期權和有條件股份(攤薄潛在普通股)的股份。在計算每股普通股的攤薄淨收入時,不會假設行使期權是反攤薄的。由於累計優先股代表與普通股不同的股票類別,因此不包括在計算稀釋加權平均已發行股數時可向優先股基金會發行的累積優先股。每股普通股的基本和稀釋後淨收益計算如下:截至12月31日的年度(歐元,百萬歐元,淨收益2,581.1 3,696.8 6,134.6加權平均流通股數420.8 418.3 409.8每股普通股基本淨收益6.13 8.84 14.97加權平均流通股數420.8 418.3 409.8外加適用於期權和有條件股份的股份0.9 0.8 0.6稀釋加權平均股數421.6 419.1 410.4稀釋每股普通股淨收益6.12 8.82 14.95我們依賴外部供應商提供我們系統中使用的零部件和部件,包括其設計其中每一個都是從單一供應商或有限數量的供應商那裏獲得的。我們對有限的供應商集團的依賴涉及幾個風險,包括可能無法獲得足夠的所需組件供應,降低對定價的控制,以及這些組件和子組件不及時交付的風險。25.金融風險管理我們面臨着一定的金融風險,如外幣風險、利率風險、信用風險、流動性風險和資本風險。我們的整體風險管理計劃側重於金融市場的不可預測性,並尋求將對我們財務業績的潛在不利影響降至最低。我們的風險管理計劃適當地關注當前金融市場的不確定環境。我們風險管理計劃中的一個關鍵要素是我們長期堅持的審慎融資政策,該政策基於三個基本要素:·流動性:保持財務穩定,目標是將我們的現金和現金等價物以及短期投資保持在2.0至25億歐元的最低範圍以上·資本結構:保持資本結構,目標是穩定的投資級信用評級·現金回報:提供可持續的每股股息,該股息將隨着時間的推移而增長,每半年支付一次,同時通過股票回購或資本償還將多餘的現金返還給股東我們使用衍生金融工具來對衝某些風險敞口。所有這些交易都不是出於交易或投機的目的。我們使用市場信息來確定我們衍生金融工具的公允價值。外匯風險管理我們面臨着貨幣風險。我們的財務報表是以歐元表示的。因此,我們的經營結果受到歐元與其他貨幣之間匯率波動的影響。貨幣匯率的變化可能會導致我們的財務報表出現虧損。我們特別容易受到美元與歐元匯率波動的影響,而日元、韓元、臺幣和人民幣相對於歐元的匯率波動程度較小。我們的銷售成本主要以歐元計價,有24歐元。由於某些濃度而導致的脆弱性


ASML年度報告2021年223部分也以美元和新臺幣計價。我們的一小部分經營業績是由歐元、美元、日元、韓元、臺幣或人民幣以外的貨幣變動推動的。一般而言,我們的客户以美元經營業務,因此美元兑歐元的疲軟可能會影響我們的客户以報價購買我們產品的能力或意願。外匯敏感度下表詳細介紹了我們對外幣兑歐元升值10.0%的敏感度。敏感性分析包括未償還的外幣計價貨幣項目,並在期末調整其換算,以使外幣匯率上升10.0%。正數表示淨收入或權益增加。截至2020年12月31日的年度(歐元,以百萬計)2020 2021年對淨收益的影響對股本的影響對淨收益的影響美元(4.3)34.4(6.9)51.5日元(13.4)-(2.2)(32.9)新臺幣1.3-(3.7)-其他貨幣(3.9)-6.2-Total(20.3)34.4(6.6)18.6我們的政策是限制匯率波動對我們綜合損益表的影響。對淨收入的影響反映了我們在2021年底對歐元以外貨幣的淨敞口。上表所列2021年對淨收入的負面影響主要歸因於風險敞口的產生和對衝之間的時間差異。為進行美元交易而進行的現金流量對衝的公允價值變動的影響在權益中確認。與2020年相比,2021年美元對股權的影響是未償還購買對衝增加的結果。與2020年相比,2021年日元對股權的影響是由於芯片需求強勁增長導致未償還銷售對衝增加的結果。如果外幣兑歐元貶值10.0%,對淨收益和股本的影響大致相同,但卻相反。外匯風險政策我們的政策是對衝重大交易風險,如預測的銷售和購買交易。我們通過使用遠期外匯合約來對衝這些風險敞口。截至2021年12月31日,未到期遠期外匯合約的名義本金主要以美元、日元、臺幣、韓元和人民幣計價,分別為6億美元、445億日元、25億臺幣、119億韓元和6億元人民幣(2020年:4億美元、155億日元、5億臺幣、00億韓元和4億元人民幣)。以外幣計價的對衝高可能性預測交易預計將在未來12個月內的不同日期發生。在保監處就包括在對衝關係中的遠期外匯合約確認的損益,將在被對衝的預測交易影響綜合損益表的期間在綜合損益表中確認。2021年,我們在綜合損益表中確認了2220萬歐元的淨虧損(2020年:230萬歐元的收益;2019年:1070萬歐元的收益),這是由於該年度發生的預測銷售和購買交易的有效現金流對衝造成的。此外,我們在綜合損益表中確認由按公允價值通過損益計量的衍生金融工具產生的淨虧損790萬歐元(2020年:收益2820萬歐元;2019年:虧損1200萬歐元),主要由對衝貨幣項目的重估所抵消。


ASML年度報告2021年224 OCI餘額外匯合同金融工具的未實現損益未實現的累計OCI餘額金融工具的未實現損益包括:·以外幣計價的預期購買交易的未實現損益。截至2021年12月31日,OCI的未償還累計收益包括2080萬歐元,代表將公佈的銷售成本的預期收益總額(2020年:虧損2610萬歐元,2019年:收益210萬歐元),(税後淨額:2021年:收益1770萬歐元;2020年:虧損2270萬歐元;2019年:收益180萬歐元),這將抵消以外幣計價的預測購買交易的歐元等值。所有金額預計將在未來12個月內公佈。·銷售應實現的重大預期損失。截至2021年12月31日,未償還累計OCI包括120萬歐元的虧損(2020年:收益40萬歐元;2019年:虧損120萬歐元),這是將公佈給銷售的總預期虧損。我們應用套期保值會計的所有合同的有效性在整個套期保值的整個生命週期內都受到季度監測。在2021年、2020年和2019年期間,沒有發現無效的對衝關係。利率風險管理我們有計息資產和負債,使我們受到市場利率波動的影響,通過利率掉期進行管理。利率敏感度以下敏感度分析乃根據衍生金融工具及非衍生金融工具於綜合財務狀況表日的利率風險而釐定,規定的變動於財政年度開始時發生,並於整個報告期內保持不變。下表顯示了利率每增加1%對我們的淨收入和權益的影響。正數表示淨收入和股本增加。截至12月31日的年度(歐元,百萬歐元)2020年對淨收益的影響對股本的影響對淨收益的影響加息1%對股本的影響43.5-45.9-對淨收益的積極影響主要涉及我們的現金和現金等價物以及短期投資的總額高於我們的總浮動債務頭寸,這不包括2020年發行的歐洲債券。利率每下降1%,對淨收入和股本的影響大致相同,但卻相反。對衝政策利率我們使用利率互換,通過調整可用現金和計息債務的利息條款,將集團的淨利息敞口降至最低。如果資產和負債頭寸不能完全抵消,則可能存在剩餘的利率風險。利率互換截至2021年12月31日,未償還利率互換合約的名義本金金額為30億歐元(2020年:30億歐元)。於2021年期間,這些未平倉對衝在對衝利率變動帶來的公允價值風險方面非常有效。歐元債券的公允價值變動與利率互換的公允價值變動計入同期的綜合損益表。我們沒有就2020年發行的歐洲債券進行利率互換。信用風險管理可能使我們面臨嚴重集中信用風險的金融工具主要包括現金和現金等價物、短期投資、用於對衝活動的衍生金融工具、應收賬款和財務應收賬款以及對供應商的預付款。現金和現金等價物、短期投資和衍生金融工具包含交易對手無法履行其義務的風險因素。我們的風險管理計劃適當地關注當前金融市場的不確定環境。我們將現金及現金等價物和短期投資投資於擁有投資級信用評級的金融機構的短期存款、擁有投資級信用評級的政府和/或政府相關機構,以及投資於高評級債務證券的貨幣市場和其他投資基金。為了降低我們在對衝交易中的交易對手的風險


ASML年報2021年225由於無法履行義務,我們與少數擁有投資級信用評級並密切監控其信譽的主要金融機構達成交易。所有信用評級都由S、穆迪或惠譽等信用評級機構進行評級。通過限制對每個單獨交易對手的風險敞口,降低了集中風險。我們的客户包括遍佈世界各地的集成電路製造商。我們對客户的財務狀況進行持續的信用評估。我們通過其他措施降低信用風險,包括使用首付、信用證和合同所有權保留條款。保留所有權使我們能夠在客户拖欠付款的情況下恢復系統。流動性風險管理我們的主要流動性來源包括現金和現金等價物、短期投資和可用的信貸安排,目標是使我們的現金和現金等價物以及短期投資保持在20億歐元至25億歐元的最低範圍以上。此外,我們可能會不時在債務和股票市場籌集額外資金。我們力求確保我們的主要流動資金來源在任何時候都足以滿足我們的流動資金需求。我們的流動資金需求受到許多因素的影響,其中一些因素是基於業務的正常持續運營,另一些因素與全球經濟和半導體行業的不確定性有關。雖然我們的現金需求根據這些因素的時間和程度而波動,但我們相信,運營產生的現金,加上我們的其他流動性來源,足以滿足我們目前的需求,包括我們預期的資本支出和償債。我們打算定期以支付股息的形式向股東返還現金,並根據我們實際和預期的流動資金需求以及其他相關因素,回購股票或償還資本。我們對衍生金融工具的流動性分析如下:總計


ASML年度報告2021年226會計政策-衍生金融工具和套期保值活動金融資產有三個主要分類類別:按攤銷成本計量、FVOCI和FVTPL。金融資產的分類一般基於管理金融資產的商業模式及其合同現金流特徵。混合金融工具(以金融資產為主體的衍生品嵌入合同)作為一個整體進行評估以進行分類。按攤銷成本計算的金融資產按攤銷成本計算的金融資產是指在活躍的市場中未報價的具有固定或可確定付款的非衍生金融資產。它們計入流動資產,但在綜合財務狀況表日期後12個月以上的到期日除外。這些資產被歸類為非流動資產。我們於攤銷時的金融資產包括應收賬款、應收金融賬款、其他資產、現金及現金等價物(不包括貨幣市場基金的投資,在損益中按公允價值分類為金融資產),以及綜合財務狀況表內的其他非流動及流動資產。按攤銷成本計算的金融資產最初按公允價值計量,其後按實際利率法按攤銷成本計量。我們於每個綜合財務狀況報表日期評估是否有客觀證據顯示一項或一組金融資產已減值。金融資產減值除按公允價值計提損益的金融資產外,每個綜合財務狀況報表日期均採用“預期信貸損失”(ECL)模型進行評估。根據該模型,我們根據被確定為可預測損失風險的數據,並應用經驗豐富的信用判斷,為每項金融資產分配損失概率。這些違約概率是使用指示違約風險的量化因素定義的,並與彭博資訊的信息一致。現金和現金等價物、短期投資和財務應收賬款的減值是在12個月預期虧損的基礎上衡量的,反映了風險敞口的短期到期日。根據對手方的外部信用評級,我們認為我們的現金和現金等價物、短期投資和融資應收賬款具有較低的信用風險。應收貿易賬款的減值已按終身預期損失計量。ASML發行的金融負債和權益工具ASML發行的金融負債和權益工具根據訂立的合同安排的實質內容以及金融負債和權益工具的定義進行分類。金融負債分為按公允價值計提損益的金融負債或其他金融負債。權益工具是任何證明ASML資產在扣除其所有負債後仍有剩餘權益的合同。ASML發行的權益工具按扣除直接發行成本後的公允價值入賬。按公允價值計入損益的金融負債按公允價值列報,任何由此產生的損益均在綜合損益表中確認。其他金融負債(包括貸款、借款、貿易及其他應付款項)隨後按實際利率法按攤銷成本計量。金融工具


ASML 2021年年報227這些金融工具的公允價值為:截至2021年12月31日的年度(歐元,按公允價值通過損益計算的金融資產按攤銷成本計算的金融資產99.5-99.5合同資產-164.6 164.6應收賬款-3,028.0 3,028.0應收賬款-1,568.6 1,568.6其他非流動和流動資產-1,651.3 1,651.3短期投資638.5-638.5現金及現金等價物2,928.3 4,023.5 6,951.8截至2021年12月31日的年度合計3,666.3 10,436.0 14,102.3(歐元,按公允價值通過損益計算的財務負債其他財務負債財務狀況表中的總負債1-4,584.1 4,584.1衍生金融工具2.8-2.8合同負債--應計及其他負債-1,683.8 1,683.8應付帳款-2,115.5 2,115.5合計2.8 8,383.4 8,386.2 1.長期債務包括我們的歐元債券。由於歐元債券在公允價值對衝關係中作為對衝項目,因此賬面金額會因市場利率的變化而進行公允價值調整。見附註16長期債務、利息和其他成本。截至2020年12月31日的年度(歐元,百萬歐元)按公允價值計損益的金融資產按攤銷成本計的金融資產按財務狀況表計算的總資產日期衍生金融工具162.8-162.8合同資產-119.2 119.2應收賬款-1,310.3 1,310.3應收賬款-2,111.0 2,111.0其他非流動和流動資產-1,427.6 1,427.6短期投資1,302.2-1,302.2現金及現金等價物3,841.9 2,207.5 6,049.4截至2020年12月31日的年度合計5,306.9 7,175.6 12,482.5(歐元,按公允價值透過損益計算的財務負債其他財務負債財務狀況表內的總負債1-4,678.2 4,678.2衍生金融工具20.0-20.0應計及其他負債-1,383.5 1,383.5應付賬款-1,378.3 1,378.3合計20.0 7,440.0 7,460.0 1.長期債務包括我們的歐洲債券。由於歐元債券在公允價值對衝關係中作為對衝項目,因此賬面金額會因市場利率的變化而進行公允價值調整。見附註16長期債務、利息和其他成本。應收賬款、金融應收賬款和其他資產的賬面價值接近其公允價值。上面反映的金額代表我們對金融資產的信用風險的最大敞口。


ASML年報2021年228我們基於源自第2級投入標準的公允價值來衡量所有衍生金融工具。我們採用套期保值會計,對在抵銷已識別的對衝風險方面非常有效的套期保值,並考慮到所需的有效性標準。衍生工具最初於衍生工具合約訂立當日按公允價值確認,並於其後重新計量。確認由此產生的收益或損失的方法取決於衍生品是否被指定為套期保值工具,如果是,則取決於被套期保值項目的性質。我們將衍生品指定為下列之一:·與可歸因於特定風險的已確認資產或負債的公允價值變化有關的風險敞口的對衝(公允價值對衝)。·對可歸因於某一特定風險(現金流對衝)的已確認資產或負債的現金流或預測交易的可變性的風險敞口進行對衝。·與外國業務的淨投資有關的外幣風險對衝(淨投資對衝)。我們在交易開始時評估套期保值工具和對衝項目之間的關係,以及我們進行各種對衝交易的風險管理目標和策略。我們亦會在對衝初期及持續進行評估,以評估在對衝交易中使用的衍生工具在抵銷對衝項目的公允價值或現金流變動方面是否非常有效。衍生金融工具產生的現金流量按套期保值項目的性質在合併現金流量表中分類。公允價值對衝被指定並符合公允價值對衝資格的衍生金融工具的公允價值變動,連同可歸因於對衝風險的對衝資產或負債的損益,記錄在綜合損益表中。我們將外幣套期保值工具指定為以非功能性貨幣對公認資產或負債的公允價值進行對衝。當我們撤銷套期保值關係,套期保值工具到期或被出售,終止或行使,或不再有資格進行套期保值會計時,套期保值會計就停止了。套期風險對套期項目賬面金額的調整自該日起計入綜合損益表。用於對衝應付固定貸款息票公允價值的利率掉期被指定為公允價值對衝。公允價值變動旨在抵銷相應入賬的相關固定貸款息票的公允價值變動。與利率掉期對衝應付固定貸款息票的無效部分相關的損益在綜合損益表中確認為財務成本或財務收入。現金流對衝當衍生工具被指定為現金流對衝工具時,衍生工具的公允價值變動的有效部分在保監處確認,並累積在對衝準備金中。於保監處確認的衍生工具公允價值變動的有效部分限於對衝項目自對衝開始以來按現值釐定的累計公允價值變動。衍生工具公允價值變動中的任何無效部分將立即在損益中確認。當套期預測交易隨後導致確認非金融項目(如存貨)時,在確認非金融項目時,套期保值準備金的累計金額和對衝準備金的成本直接計入非金融項目的初始成本。對於所有其他套期保值預測交易,套期保值準備金的累計金額和套期保值準備金的成本重新分類為被套期保值的預期未來現金流量影響損益的同期或多個期間的損益。衍生工具的公允價值下表彙總了我們的衍生金融工具的名義金額和估計公允價值:截至2012年12月31日的年度(歐元,以百萬為單位)2021年公允價值名義金額公允價值遠期外匯合約3,000.0 160.4 3,000.0 83.9


ASML年度報告2021年229下表按類別彙總了我們的衍生金融工具:截至12月31日的年度(歐元,2020年2021年資產負債負債利率互換-公允價值套期保值160.4-83.9-遠期外匯合約-現金流對衝0.9 15.1 15.0 2.2遠期外匯合約-無對衝會計1.5 4.9 0.6 0.6總計162.8 20.0 99.5 2.8減去非流動部分:利率互換-公允價值對衝123.8-47.3-總非流動部分123.8-47.3-總流動部分39.0 20。052.22.8在財務狀況表日後剩餘期限為12個月或以下的對衝衍生金融工具的公允價值部分被歸類為流動資產或負債。當套期保值衍生工具的公允價值部分在財務狀況表日後的期限超過12個月時,該衍生工具被歸類為非流動資產或負債。公允價值計量公允價值是指在計量日在市場參與者之間的有序交易中出售一項資產或支付轉移一項負債而收到的價格。公允價值計量層次將用於計量公允價值的估值技術的投入優先排序如下:·第1級:根據實體有能力進入的活躍市場上相同資產或負債的報價等投入進行估值。·第2級:根據第1級投入以外的投入進行估值,如類似資產或負債的報價、不活躍市場的報價或其他可觀察到的投入,或基本上整個資產或負債的可觀測數據所證實的其他投入。·第三級:根據很少或沒有市場活動支持的投入進行估值,這些投入對資產或負債的公允價值具有重大意義。公允價值層次結構對相同資產或負債的活躍市場報價(未調整)給予最高優先權(第1級),對不可觀察到的投入給予最低優先權(第3級)。金融工具的公允價值分類基於公允價值計量層次結構中任何重要投入的最低水平。金融資產和金融負債按公允價值按經常性基礎計量貨幣市場基金的投資(包括在我們的現金和現金等價物中)採用公允價值計量,這些公允價值計量均基於相同資產或負債的報價。我們的短期投資包括向持有投資超過3個月且在收購之日不超過一年的實體存入具有投資級信用評級的金融機構的原始到期日存款。存款的公允價值乃參考類似資產活躍市場的報價或貼現現金流分析而釐定。我們執行衍生品合約的主要市場是具有高度價格透明度的場外交易環境中的機構市場。市場參與者通常是大型商業銀行。我們衍生品合約的估值輸入是基於公開數據來源的報價和報價區間;它們不涉及管理層的判斷。用於確定遠期外匯合同公允價值(用於對衝目的)的估值技術與淨現值技術相近,淨現值技術是銀行在考慮當前利率和當前匯率後,在報告日期終止遠期外匯合同將收到或支付的估計金額。用於確定利率掉期(用於對衝目的)的公允價值的估值技術是淨現值技術,即考慮到當前利率,銀行將在報告日期收到或支付終止掉期協議的估計金額。


ASML年報2021年230我們的四隻未償還歐元債券,本金總額為30億歐元,作為公允價值對衝關係中的對衝項目,我們通過利率互換來對衝由於市場利率變化而導致的歐元債券公允價值變化的可變性。我們在2020年發行的債券不適用對衝。利率互換的公允價值變動於綜合財務狀況表衍生金融工具項下記錄,而歐元債券的賬面金額僅就該等公允價值變動的有效部分作出調整。有關我們的歐元債券的實際賬面總額和公允價值,請參閲附註16長期債務、利息和其他成本。下表列出了按公允價值經常性計量的金融資產和金融負債:截至2021年12月31日的年度(歐元,1級2級3按公允價值計量的總資產衍生金融工具1-99.5-99.5貨幣市場基金2,928.3--2,928.3短期投資3-638.5-638.5合計2,928.3-3,666.3負債按公允價值計量的衍生金融工具1-2.8-2.8公允價值披露的資產和負債截至2020年12月31日的長期債務4,673.9--4,673.9(歐元,按公允價值計量的總資產1-162.8--162.8貨幣市場基金3,841.9--3,841.9短期投資3-1,302.2-1,302.2合計3,841.9 1,465.0-5,306.9按公允價值計量的衍生金融工具負債1-20.0-20.0公允價值披露的資產和負債長期債務4,798.8--4,798.8 1.衍生金融工具包括遠期外匯合約和利率互換。2.貨幣市場基金是我們現金和現金等價物的一部分。3.短期投資包括對持有投資的實體的原始到期日超過三個月、但在收購之日不超過一年的存款。這些存款按接近其公允價值的攤餘成本進行估值。它們的公允價值是參考類似資產活躍市場的報價或貼現現金流分析來確定的。4.長期債務主要與歐洲債券有關。在截至2021年12月31日至2020年12月31日的年度內,水平之間沒有轉移。未按公允價值計量的金融資產和金融負債由於這些工具的短期性質,現金和現金等價物、應付賬款以及其他流動金融資產和負債的賬面價值接近其公允價值。提供給Carl Zeiss SMT GmbH的貸款賬面價值接近於考慮到當前利息和投資級信用評級的公允價值。衡量貨幣市場和投資基金的貨幣市場和投資基金有資格出售有價證券。由於短期性質,公允價值接近賬面價值,且與具有投資級信用評級的投資有關。信貸損失撥備和未實現損益總額接近於零。這些貨幣市場基金可以每日贖回。貨幣市場基金的投資和贖回是根據實際現金餘額按日進行管理的。考慮到低利率和高信用評級,這些貨幣市場基金的已實現損益並不顯著。證券的成本幾乎為零。截至2021年12月31日,ASML沒有交易證券。將存款作為現金和現金等價物和短期投資的一部分衡量的存款符合持有至到期的證券的資格。由於短期性質以及與具有投資級信用評級的投資有關,攤餘成本價值接近公允價值和賬面價值。信貸損失撥備和未實現損益總額接近於零。期限為1年或更短。在到期日之前,沒有出售持有至到期的證券。


ASML年度報告2021年231 26.關聯方卡爾·蔡司SMT有限公司是我們光刻系統用光柱的唯一供應商,我們也是他們的唯一客户。卡爾·蔡司SMT有限公司只能通過使用位於德國奧伯科興和韋茨拉爾的製造和測試設施,有限數量地開發和生產這些產品。我們與卡爾·蔡司SMT有限公司的關係是一種戰略聯盟,在“兩家公司,一家企業”的原則下運行,專注於持續創新和提高光刻業務的運營水平。我們擁有卡爾·蔡司SMT控股有限公司24.9%的股份,卡爾·蔡司SMT控股有限公司擁有卡爾·蔡司SMT有限公司100%的股份。基於24.9%的投資,卡爾·蔡司SMT Holding GmbH&Co.KG及其子公司被視為關聯方。自1997年以來,我們與Carl Zeiss SMT GmbH簽訂了多項框架協議。我們於2021年9月與Carl Zeiss SMT GmbH簽訂了一項新的框架協議,自2021年初起生效。這項協議取代了我們現有的主要框架協議,並使我們的業務利益保持一致,以便專注於支持我們的最終客户。新框架協議的關鍵組成部分是:·促進相互尊重和理解的行為和互動模式·通過相互批准(I)影響光刻業務的某些投資決定,使兩家公司在決策和業務戰略執行方面變得更加有效和一致的治理模式,和(Ii)Carl Zeiss SMT GmbH提供的所有產品的要求·購買產品和服務的新可變定價模型,由ASML和Carl Zeiss SMT GmbH在光刻業務中的相關年度財務業績確定·通過產品交付的額外預付款提供現金支持,以確保Carl Zeiss SMT GmbH在年度期間有最低調整後的自由現金流下限,如果滿足某些標準·ASML承諾為Carl Zeiss SMT GmbH的資本支出提供高達10億歐元的資金,如果他們在光刻業務路線圖上執行的投資超過某些門檻,則每年通過貸款協議進行衡量,關鍵條款是:·10年定期貸款,三年寬限期後每年線性償還·利率下限為0.01%,上限為1%·自願提前還款選項,不受懲罰兩家公司已同意永久繼續其戰略聯盟,以滿足最終客户的需求,即使新框架協議終止。從2016年之前的協議過渡到EUV 0.55 NA(High-NA),我們與Carl Zeiss SMT GmbH達成協議,支持他們的研發成本、資本支出和供應鏈投資。根據我們的新框架協議,從2021年開始將不再支付這些款項。我們在新框架協議生效修改日期之前支付了9.691億歐元,其中3.055億歐元與研發成本有關,不償還,6.636億歐元與資本支出和供應鏈投資有關。資本支出和供應鏈投資支助的償還方式已轉換為在2021年至2032年期間每年償還ASML。這筆金額在其他資產中作為向Carl Zeiss SMT GmbH的預付款列報。新的框架協議沒有改變與這些資產相關的風險。在新的購買產品和服務的可變定價模型中,反洗錢的現金流出被確定為目前有兩個要素。首先是購買產品和服務的現金流出,反映在我們的庫存估值和銷售成本中。第二項是為卡爾·蔡司SMT有限公司的High-NA提供的研發資金,這些成本在研發成本中列報。2021年,這一數額被確定為6120萬歐元。根據之前的High-NA協議,我們在2020年和2019年分別產生了9610萬歐元和9420萬歐元的研發成本。於2020及2021年按非經常性基礎按公允價值計量的資產及負債,我們並無按正常業務活動按非經常性基礎計量的重大公允價值計量。在2020至2021年間,我們沒有確認任何商譽和其他無形資產的減值費用。有關2020年收購柏林Glas及其後於2021年剝離非半導體業務的公允價值計量,我們參考附註10業務合併及剝離。


ASML年度報告2021年232已於2021年9月29日提供了1.244億歐元的初始貸款,按攤銷成本估值,並在其他資產中列報。根據之前的High-NA協議,我們為2020年的資本支出和供應鏈投資提供了2.214億歐元的支持,在2019年提供了1.886億歐元的支持。除了High-NA支持外,我們還提供無息預付款,以支持Carl Zeiss SMT GmbH的在製品。這些付款是為了確保光學柱交付,這些預付款通過未來的透鏡或光學柱交付結算,也在其他資產中列報。新的框架不會改變我們結算以前支付的金額的權利,也不會改變與這些資產相關的風險。我們將繼續支持卡爾·蔡司SMT有限公司在新框架協議下的工作,通過產品交付的預付款。卡爾·蔡司SMT Holding GmbH&Co.KG及其子公司截至2021年12月31日的綜合財務狀況表中的未償還餘額:截至2021年12月31日的年度(歐元,以百萬為單位)2021年預付款包括在其他資產中933.8 982.8預付款包括在財產中,廠房與設備52.8 82.1應收貸款-124.4使用權資產149.9-投資協議24.9%股權820.7 892.5應付賬款110.9 482.7應計及其他負債--2020年的使用權資產主要包括與我們與卡爾·蔡司SMT簽訂的High-NA協議相關的設施和工具,資金由ASML預付。這項協議被一項新的框架協議取代。一旦簽訂新協議,這些資產就不再符合租賃的定義。它們在2021年被歸類為其他資產的一部分。卡爾·蔡司SMT Holding GmbH&Co.KG及其子公司的總購買量如下:截至12月31日的年度(歐元,百萬歐元)2019 2020 2021年總購買量1,502.3 1,623.9 2,070.3其他關聯方考慮除正常過程(補償)安排外,ASML與其任何子公司、任何其他大股東、任何董事或高管、或其任何親屬或配偶之間沒有任何交易。在我們最近的財政年度內,沒有,目前也沒有董事、阿斯瑪官員或其任何聯繫人所欠或欠阿斯麥的未償債務。此外,ASML沒有向管理委員會或監事會成員提供任何個人貸款、擔保或類似的東西。有關由我們的管理委員會成員組成的關鍵管理人員的更多信息,請參見附註28管理委員會和監事會薪酬。


ASML年度報告2021年233 27.子公司和聯營公司截至2021年12月31日,我們的子公司和聯營公司的詳細信息如下:ASML Holding N.V.註冊的子公司法人國家:ASML比利時BV比利時(埃森)愛馬仕微視有限公司(北京)中國(北京)阿斯麥(上海)電氣設備有限公司中國(上海)阿斯麥(上海)光刻設備科技有限公司中國(上海)共聚半導體設備(上海)有限公司中國(上海)愛馬仕微視(上海)有限公司中國(上海)布里昂科技(深圳)有限公司中國(深圳)ASML法國S.a.r.L.法國(伯寧)ASML Verwaltungs GmbH德國(柏林)ASML柏林有限公司(前柏林Glas GmbH)德國(柏林)ASML德國有限公司德國(德累斯頓)ASML Participations德國GmbH德國(德累斯頓)ASML香港物流服務有限公司香港特別行政區ASML愛爾蘭有限公司愛爾蘭(都柏林)ASML以色列(2001)有限公司以色列(Kiryat Gat)ASML意大利S.r.l.意大利(Avezzano)ASML日本有限公司日本(東京)Cmer日本公司日本(東京)ASML設備馬來西亞有限公司巴赫德。馬來西亞(喬治敦,Pulau Pinang)Cmer B.V.荷蘭(Veldhoven)ASML荷蘭(Veldhoven)ASML Systems B.V.荷蘭(Veldhoven)ASML Trading B.V.荷蘭(Veldhoven)Hermes Microvision Inc.荷蘭(Veldhoven)ASML新加坡私人有限公司新加坡Cmer新加坡私人有限公司新加坡ASML韓國有限公司韓國京旗島ASML修復中心韓國有限公司韓國京基(京基)Cmer韓國有限公司臺灣(新竹市)ASML技術臺灣有限公司臺灣(新竹市)Cmer東南亞有限公司臺灣(新竹市)ASML(英國)有限公司英國(愛丁堡(蘇格蘭))Cmer,LLC美國(內華達州卡森市)Hermes Microvision,Inc.US(加利福尼亞州洛杉磯)ASML Global,Inc.US(特拉華州威爾明頓)ASML US,LLC US(特拉華州威爾明頓)ASML US,LP US(威爾明頓,特拉華州)特拉華州)聯營公司和其他實體,ASML Holding N.V.擁有權益:Carl Zeiss SMT Holding GmbH&Co.Kg(24.9%直接權益)德國(Oberkochen)Carl Zeiss SMT GmbH(24.9%間接權益)德國(Oberkochen)HighTechXL Holding B.V.(17.65%)荷蘭HighTech XL Group B.V.(17.39%)荷蘭Secop B.V.(0.58%)荷蘭1.我們的所有子公司都是(直接或間接)全資擁有的。


ASML年度報告2021年234 28.管理委員會和監事會以2021年發生的會計費用為基礎的管理委員會成員的薪酬,2020年和2019年:管理委員會財務年度基本工資養老金其他福利總固定百分比固定STI LTI總可變百分比可變總薪酬相對比例固定與可變P.T.F.M.2021 1,020 206 57 1,283 26.6%1,098 2,439 3,537 73.4%4,820 0.36 2020 1,020 216 57 1,293 28.3%1,135 2,136 3,271 71.7%4,564 0.40 2019 1,000 207 53 1,260 28.9%1,070 2,031 3,101 71.1%4,361 0.41 M.A.範·登布林克2021 1,020 206 56 1,282 26.6%1,098 2,439 3,537 73.4%4,819 0.36 2020 1,135 2,136 3,271 71.7%4,564 0.40 2019 1,207 52 1,259 28.9%1,070 2,031 3,101 71.1%4,360 0.41 F.J.M.施耐德-莫努裏2021 694 115 36 845 26.8%747 1,566 2,313 73.2%3,158 0.37 2020 694 122 36 852 29.1%773 1,302,075 70.9%2,927 0.41 2019 680 114 824 30.3%728 1,172 1,900 69.7%2,724 0.43 R.J.M.達森2021 694 115 51 860 22.6%747 2,193 2,940 77.4%3,800 0.29 2020 694 100 51 845 22.2%773 2,186 2,959 77.8%3,804 0.29 2019 680 93 47 820 27.7%728 1,408 2,136 72.3%2,956 0.38 C.D.傅奎2021 678 52 824 26.3%747 1,566 2,313 73.7%3,137 0.36 2020 694 83 51 828.8%773 1,374 2,72.2%2,975 0.39 2019年680 74 47 801 36.4%728 674 1,402 63.6%2,203 0.57董事會總數2021 4,122 720 252 5,094 25.8%4,437 10,203 14,640 74.2%19,734 0.35 2020 4,122 737 252 5,111 27.1%4,589 9,134 13,723 72.9%18,834 0.37 2019 4,040 695 229 4,964 29.9%4,324 7,316 11,640 70.1%16,604 0.43薪酬乃根據歐盟國際財務報告準則(股份獎勵)呈報。股份獎勵的成本在三年歸屬期間內按預期授予非市場基礎要素的獎勵數量計入綜合經營報表。在頭兩年,我們採用可實現的最大股票獎勵數量,並在獎勵的最後業績年度,將非市場業績條件的估計更新為預期授予的最佳估計金額。基於可實現股份獎勵數目的最佳估計的金額與基於歸屬的實際股份獎勵數量的金額之間的任何差額,在股份獎勵歸屬的財政年度的綜合經營報表中計入。以市場為基礎的要素按目標核算。範豪特自2021年從公司退休以來,不再是管理委員會的成員。前管理委員會財政年度基本薪金退休金其他福利固定百分比固定薪酬總變動百分比變動薪酬相對比例1 2021 231 47 16 294 11.4%243 2,036 2,279 88.6%2,573 0.13 2020 694 122 47 863 29.4%773 1,302 2,075 70.6%2,938 0.42 2019 680 44 838 30.6%728 1,172 1,900 69.4%2,738 0.44 1.範胡特2021年薪酬總額不包括估計金額8歐元。8百萬歐元,用於支付本公司根據荷蘭工資税法第32bb條應向荷蘭税務機關繳納的解僱福利税款。Van Hout先生的2021年STI是根據2021年提供的服務天數按比例計算的。Van Hout先生將繼續享有根據LTI計劃於2018年、2019年及2020年授予的業績股份,該等股份將根據授出函件所述的相關業績標準授予。2021-2023年LTI計劃的贈款根據2021年提供的服務天數按比例分配。正在運行的LTI計劃的所有LTI費用在2021年入賬,因為在2021年服務期結束後不提供任何服務。披露的薪酬總額不包括估計金額880萬歐元,以計入本公司根據荷蘭工資税法第32bb條應向荷蘭税務機關繳納的解僱福利税款。2021年財政年度,範·胡特先生的薪酬支出總額為1140萬歐元,其中包括這項税收。


ASML年度報告2021年235基於股份支付基於業績的基於股份的薪酬管理委員會現任成員披露於下表。基於市場的要素非基於市場的要素管理委員會授予日期狀態授予日按目標公允價值計算的股份數量授予日按目標公允價值計算的股份總數授予日的目標股份總數最大股份數(200%)歸屬日期的股份數歸屬年度的股票年終價格鎖定終止日期P.T.F.M.Wennink 1/22/21條件編號1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/a 1/1/26 1/24條件編號1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19無條件編號2,217 245.4 5,173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/24 1/19/18無條件編號1,958 215.1 4,570 162.8 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17無條件編號3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/122 M.A.範登布林克1/22/21條件編號1,053 635.6 2,455 454.9 3,508 7,016 1/1/24 n/a 1/1/26 1/24 n/20條件編號1,387 286.9 3,235 263.7 4,622 9,245 1/1/23 n/a n/a 1/1/25 7/19/19無條件編號2,217 245.4 5 173 194.4 7,390 14,780 1/1/22 13,326 706.7 1/1/24 1/19/18無條件編號1,958 215.1 4,570 1628 6,528 13,056 1/19/21 9,566 439.9 1/19/23 1/20/17無條件編號3,037 145.4 7,085 110.5 10,122 20,243 1/1/20 16,733 263.7 1/1/22 F.J.M.Schneider-Maunoury 1/22/21條件編號717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a n/a 1/1/26 1/24/20條件編號858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a無條件編號1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18無條件編號1,125 215.1 2,626 162.8 3,751 7,502 1/19/21 5,496 439.9 1/19/23 1/20/17無條件編號1,745 145.4 4,070 110.5 5,815 11,629 1/1/20 9,613 263.7 1/22 R.J.M.達森1/22 635.6 1,670 454.92,387 4,774 1/1/24 n/a n/a 1/1/26 1/24/20條件編號858 286.9 2,001 263.7 2,859 5,718 1/1/23 n/a n/a 1/1/25 3,198 194.4 4,569 9,137 1/22 8,239 706.7 1/1/24 1/25/19無條件編號3,000 169.0 7,000 148.3 10,000 1/22 18,032 706.7 1/24 7/20/18無條件編號657 274.6 1,531 185.0 2,188 4,376 1/19/21 3,207 439.9 1/19/23 C.D.福凱1/22/21條件編號717 635.6 1,670 454.9 2,387 4,774 1/1/24 n/a 1/1/26 1/24 n/a n/a 1/1/1/23 n/a n/a 1/1/25 7/19/19無條件編號1,371 245.4 3 198 194.4 4 569 9,137 1/1/22 8,239 7067 1/1/24 7/20/18無條件否844 274.6 1,969 185.0 2,813 5,626 1/19/21 4,122 439.9 1/19/23管理委員會前成員以業績為基礎的薪酬披露於下表。以市場為基礎的要素非以市場為基礎的要素前管理委員會授予日期狀態授予日完全控制按目標公允價值計算的股份數量授予日按目標公允價值計算的股份總數最大股份(200%)歸屬日期股份數歸屬年度的股票年終價格鎖定終止日期F.J.van Hout 1/22/21條件編號239 635.6 557 454.9 796 1,592 1/1/24 n/a n/a 1/1/26 1/24/20條件編號858286.9 2,001 263.7 2,859 5,718 1/1/23 n/a n/a 1/1/25 7/19/19無條件編號1,371 245.4 3,198 194.4 4,569 9,137 1/1/22 8,239 706.7 1/1/24 1/19/18無條件編號1,125 215.1 2,626 162.8 3,751 7,501 1/19/21 5,496 439.9 1/19/23 1/20/17無條件編號1,745 145.4 4,070 110.5 5,815 11,629 1/20 9,613 263.7 1/1/22否向管理委員會或監事會成員發放(個人)貸款,沒有向管理委員會和監事會的任何成員提供擔保或類似的擔保。2021年沒有向管理委員會和監事會成員發放遣散費,也沒有追回浮動薪酬。


ASML年度報告2021年236監事會2021年薪酬監事會成員薪酬概覽根據過去五年發生的會計費用(金額以千歐元為單位):29。畢馬威在截至2021年12月31日和2020年12月31日的年度內一直擔任我們的獨立審計師。下表列出了畢馬威及其成員事務所和附屬公司2021年和2020年提供的專業審計服務和其他服務的總費用:截至2020年12月31日的年度(歐元,畢馬威會計師事務所總審計費用2,246 1,090 3,337 2,449 1,047 3,496審計相關費用88-88 90-90税費-所有其他費用37-37 27-27主要會計師費用2,371 1,090 3,461 2,566 1,047 3,613我們的獨立註冊會計師事務所是畢馬威會計師事務所(畢馬威),荷蘭Amstelveen,審計師事務所ID:1012。審計費用涉及本年報所載財務報表的審計、某些季度程序、與發售備忘錄相關的服務(僅限於2020年)以及我們對子公司的法定和監管備案文件。這些費用與各自財務報表的審計有關,無論這項工作是否在財政年度內進行。其他與審計有關的費用與非財務信息的保證服務有關。其他(非審計)服務涉及已達成目標的某些議定程序,以便薪酬委員會評估薪酬政策的遵守情況,以及就美國預付定價協議的程序達成一致。1.津貼包括固定費用津貼和洲際會議津貼。在過去五年中,沒有向現任和前任監事會成員發放浮動工資。根據《2021年監事會薪酬政策》規定的薪酬原則,監事會的薪酬與ASML的業績沒有直接聯繫。2021年、2020年和2019年授予前監事成員的薪酬概覽(金額以千歐元為單位):會費2021年委員會費用2021年津貼20211比例固定薪酬2021年總薪酬2021年薪酬總額2019年D.A.GRose 26 10-100:0 36 117 133 C.M.S.史密斯23 8-100:0 31 95 91 W.H.齊巴特-30 101總計49 18-67 242 325 1.津貼包括固定費用津貼和洲際會議津貼。會費2021年委員會費用2021年津貼20211固定比例2021年總薪酬2021年總薪酬2019年總薪酬2019總薪酬2018年總薪酬2017年G.J.Kleisterlee 125 51 2 100:0 178 157 154 135 A.P.ARIS 87 39 1 100:0 127 95 98 80 B.M.CONIX 50 12 1 100:0 63-D.M.Durcan 74 27 11 100:0 112 57-D.W.A.East 74 17 2 100:0 93 59--T.L.凱利74 27 6 100:0 107 88 101 60-R.D.施瓦布74 38 1 100:0 113 104 101 88 86 J.M.C.斯托克74 28 11 100:0 113 100 118 100 100總計632 239 35 100:0 906 660 572 466 401


ASML年度報告2021年237 30.後續事件後續事件的評估截至2022年2月9日,也就是本年度報告中包含的財務報表獲得批准的日期。ASML柏林公司為ASML的光刻系統製造零部件,包括晶片臺和夾具、刻線卡盤和鏡塊。2022年1月2日,柏林一座生產建築的一部分發生火災,濃煙部分影響了鄰近的一座建築。我們已經能夠在這些建築的部分地區恢復生產。工地上的其他建築沒有受到影響,已經完全投入使用。我們正在進行徹底的調查,並對財務影響進行全面評估。根據我們目前的洞察,我們相信我們可以在不對系統輸出造成重大影響的情況下管理火災的後果。沒有其他事件可報告。管理委員會編制:彼得·T·F·M·温林克·馬丁·A·範·登·布林克·羅傑·J·M·達森·克里斯托弗·D·福凱·弗雷德裏克·施耐德-毛利獨立審計師提供的所有審計費用、審計相關費用和允許的服務均須事先獲得審計委員會的批准。審計委員會預先核準了2021年和2020年100%的外部審計計劃和審計費用。審計委員會監督荷蘭、歐盟法規和美國證券交易委員會關於獨立審計師提供非審計服務的規則的遵守情況,該規則概述了為荷蘭公共利益實體提供的審計服務和諮詢服務的嚴格分離。


ASML年報2021年238公司財務報表


ASML年度報告2021年239公司資產負債表(分配淨收入前)截至12月31日的年度(歐元,固定資產6 18,793.2 15,059.5衍生金融工具11 123.8 47.3其他固定資產1.6總固定資產18,918.6 15,107.1流動資產現金及現金等價物5,404.3 5,111.3短期投資5 1,290.9 625.0應付子公司的金額12 3,900.5 1,006.5流動資產51.7 13.7其他流動資產0.4-衍生金融工具11 45.3 39.3總流動資產10,693.1 6,795.8總資產29,611.7 21,902.9股東權益已發行及流通股37.6 36.5股份溢價4,259.2 4,369.4按成本計算的庫存股(863.2)(2,422.8)留存收益6,557.5 1,663.0法定準備金1,613.0 2,063.1淨收益3,696.8 6,134.6股東權益總額10 15,300.9 11,843.8非流動負債長期負債7 4,614.4 4,042.1遞延及其他税務負債4 264.6 339.8非流動負債總額4,879.0 4,381.9流動負債額應計資產12 9,394.2 5,145.8應計負債和其他負債32.7 27.9衍生金融工具11 4.9 3.0長期債務的流動部分7-500.5流動負債總額9,431.8 5,677.2權益和負債總額29,611.7 21,902.9


ASML年度報告2021年240公司損益表截至12月31日的一年(歐元,單位:百萬)附註2020 2021年其他收入(0.2)0.4研發成本9(1.5)-銷售,一般及行政成本9(20.3)(22.3)營業收入(22.0)(21.9)財務收入3 4.4 9.1財務成本3(23.4)(75.6)所得税前收入(41.0)(88.4)所得税利益(支出)4 37.2(63.5)税後收益(虧損)(3.8)(151.9)子公司淨收益6,700.6 6,286.5淨收益3,696.8 6,134.6


ASML 2021年年報241公司財務報表附註1.一般信息2.重要會計政策摘要ASML Holding N.V.在集團內擔任控股公司。綜合財務報表附註所載對集團活動及結構的描述亦適用於本公司財務報表。本公司財務報表是根據《荷蘭民法典》第2冊第9章編制的。為確定確認和計量資產及負債的原則以及確定公司財務報表的結果,本公司使用《荷蘭民法典》第2:362(8)節提供的選項。這意味着,公司財務報表的資產負債確認和計量以及結果的確定原則(下稱確認和計量原則)與適用於歐盟-國際財務報告準則合併財務報表的原則相同。這些原則還包括金融工具的分類和列報,即權益工具或金融負債。未提及其他原則的,參照合併財務報表所列會計原則。公司財務報表應與合併財務報表一併閲讀。就荷蘭税法而言,ASML Holding N.V.與其某些荷蘭子公司組成了一個税務聯合體,並因此對該聯合體的税務債務負有連帶責任:該財政聯合體由ASML Holding N.V.、ASML荷蘭B.V.、ASML Systems B.V.、ASML Trading B.V.和Hermes Microvision InCorporation B.V.組成。編制公司財務報表時使用的會計政策與編制合併財務報表時使用的會計政策相同(根據荷蘭民法典第2冊第362條第8小節第9部分)。見合併財務報表附註。除該等會計政策外,會計政策亦適用於以下本公司財務報表附註所載的本公司財務報表。除非另有説明,隨附的公司財務報表以百萬歐元為單位。子公司的投資子公司的投資是本公司直接或間接控制的所有實體。當一個實體面臨或有權從其與子公司的參與中獲得可變回報時,公司控制着該實體,並有能力通過其對子公司的權力來影響這些回報。附屬公司自本公司取得控制權之日起確認,而自本公司對附屬公司的控制權終止之日起終止確認。對附屬公司的投資按權益法在公司財務報表中入賬,資產和負債的確認和計量以及結果的確定原則載於綜合財務報表附註。應收附屬公司款項及應付附屬公司款項按公允價值計量,其後按攤銷成本減去信貸損失準備計量。應收賬款的賬面價值接近公允價值。應付附屬公司的金額最初按公允價值確認,其後按攤銷成本計量。子公司的淨收益子公司的淨收益包括本公司在這些子公司的投資中所佔的份額。涉及本公司與其在子公司的投資之間以及子公司之間相互轉讓資產和負債的交易的結果,在可被視為未實現的範圍內被剔除。


ASML年度報告2021年242 4.所得税5.短期投資3.財務收入和成本財務收入910萬歐元(2020年:440萬歐元)主要包括我們與子公司之間的公司間經常賬户的淨財務收入。融資成本為7560萬歐元(2020年:2340萬歐元),主要包括我們的歐元債券和相關利率掉期和對衝的淨融資成本、攤銷融資成本、現金和現金等價物的負利息以及貨幣結果。關於財務成本的信息,見合併財務報表--合併財務報表附註--附註16--長期債務、利息和其他成本。從荷蘭法定税率到實際所得税税率的所得税支出對賬如下:截至12月31日的年度(歐元,以百萬為單位)2020%1 2021%1所得税前收入(虧損),包括附屬公司的淨收益3,659.6 100.0%6,198.1 100.0%所得税撥備是基於ASML的國內税率(914.9)25.0%(1,549.5)25.0%關於免税收入的調整925.0(25.3)%1,571.7(25.4)%關於上一年度本期税項的調整9.6(0.3)%6.7(0.1)%關於上一年度遞延税項的調整(925.0)0.2%1。4%不確定税務狀況負債變動71.4(2.0)%(57.2)0.9%未確認遞延税項資產變動--%(21.4)0.3%税率變動的影響(27.4)0.7%(2.9)--%其他抵免和非納税項目(18.5)0.5%(12.3)0.2%所得税優惠(費用)37.2(1.0)%(63.51.0%1.作為所得税前收入的百分比,包括子公司的淨收入。ASML Holding N.V.與它的幾家荷蘭子公司組成了一個税務聯盟,所有可歸因於該財政聯盟的税收狀況都在ASML Holding N.V.的層面上報告。遞延和其他税收負債包括2.211億歐元(2020年:1.766億歐元)的遞延納税負債淨額,其中主要包括該財政聯盟內資本化研發支出的遞延納税負債。關於在財政統一框架內結清所得税的信息,見附註8承付款、擔保和或有事項。收購日原始到期日大於3個月、1年或以下的投資作為短期投資列報。這些投資的公允價值變動不是臨時性的,在公司損益表中確認。短期投資的利率風險微不足道。見合併財務報表--合併財務報表附註--附註4--現金和現金等價物及短期投資。


ASML年度報告2021年243 7.長期債務8.承諾、擔保和或有6.金融固定資產金融固定資產與我們對子公司的投資和對子公司的貸款有關。2021年至2020年對子公司的投資和對子公司的貸款的變化如下:(以百萬歐元為單位)投資貸款1月1日的總餘額,2020年14,713.9 20.2 14,734.1資本償還/償還貸款-(1.8)(1.8)收到的股息(283.7)-(283.7)來自子公司的淨收益匯率的影響(75.3)(1.6)(76.9)衍生金融工具(2 3.4)-(2 3.4)來自聯營公司的其他綜合收入的比例(1.3)-(1.3)12月31日的餘額,2020年18,776.4 16.8 18,793.2資本出資/增加495.7 18.2 513.9資本償還/償還貸款-收到的股息(10,692.1)-(10,692.1)來自子公司的淨收入6,286.5-6,286.5匯率的影響95.4 1.9 97.3衍生金融工具38.7-38.7在聯營公司其他全面收入中的比例22.0-22.0餘額,2021年15,022.6 36.9 15,059.5與ASML Holding N.V.的一家子公司簽訂了循環信貸安排協議。截至2021年12月31日,可用的信貸安排總額為3000萬美元,並計入可變利息。截至2021年底,該信貸安排下的未償還金額為3,000萬美元(2020年:2,050萬美元)。有關我們主要子公司的清單,請參閲合併財務報表-合併財務報表附註-附註27子公司和聯營公司。長期債務和我們目前的長期債務部分由我們的歐元債券組成。見合併財務報表--合併財務報表附註--附註16--長期債務、利息和其他成本。ASML Holding N.V.根據《荷蘭民法典》第二冊第403部分第9部分的規定,對以下荷蘭子公司承擔了連帶責任:ASML荷蘭公司、Cmer B.V.、ASML Trading B.V.和HMI B.V.。此外,ASML Holding N.V.還擔保了截至2021年12月31日的所有未償債務,直到根據2006年英國公司法第479C條對其海外子公司ASML(UK)Ltd.(註冊編號SC176574)全部清償為止。我們不時就子公司在正常業務過程中進行的交易向第三方提供擔保。截至2021年12月31日,我們總共有8400萬歐元的未償還擔保。就荷蘭税法而言,ASML Holding N.V.與其某些荷蘭子公司組成了一個税務聯盟,並因此對該聯盟的税收債務負有連帶責任:截至2021年12月31日,該財政聯盟包括ASML Holding N.V.、ASML荷蘭B.V.、ASML Systems B.V.、ASML Trading B.V.和Hermes Microvision Inc.B.V.。可歸因於該財政聯盟的所有税收頭寸(當前和遞延)均在ASML Holding N.V.的層面上報告。據此,所得税費用根據個人税前利潤乘以法定税率分配給荷蘭子公司。在財政統一範圍內,税務頭寸隨後與子公司結算。


ASML年度報告2021年244 10.股東權益9.人員ASML Holding N.V.的所有員工都在荷蘭。2021年,ASML Holding N.V.的平均僱員人數減少到5人(2020年:6人)。關於管理委員會和監事會(前)成員薪酬的資料,見合併財務報表--合併財務報表附註--管理委員會和監事會薪酬附註28。綜合財務報表--綜合財務報表附註--披露的其他福利和費用報銷--管理委員會和監事會薪酬包括4.55萬歐元(2020年:53.4萬歐元)的社會保障費用。(淨收益撥付前)截至12月31日的年度(歐元,百萬歐元)注1股本2股溢價國庫股按成本計算的留存收益法定準備金3淨收益1月1日的總餘額,2020年38.2 4,242.1(1,019.6)6,514.9 1,520.2 2,581.1 13,876.9上一年淨收入-2,581.1-(2,581.1)-全面收入組成部分:淨收入-3,696.8 3,696.8來自聯營公司的保險公司份額-(1.3)-(1.3)外幣換算-(75.1)-(75.1)收益(23.3)全面收益總額-(99.7)3,696.8 3,597.1購買庫存股--(1,207.5)--(1,207.5)庫存股註銷(0.7)-1,262.3(1,261.6)-基於股份的付款20-62.9-62.9--(1,066.4)--(1,066.4)發展支出--(192.5)192.5--截至12月31日的餘額,2020年37.6 4,259.2(863.2)6,557.5 1,613.0 3,696.8 15,300.9上一年淨收入-3,696.8-(3,696.8)-全面收入組成部分:淨收入-6,134.6 6,134.6在聯營公司的保單份額-22.0-22.0外幣換算-95.4-95.4金融工具收益(虧損)4 25---156.2 6,134.6 6,290.8購買庫存股--(8,560.3)--(8,560.3)註銷庫存股(1)-6,926.6(6,925.4)-以股份為基礎的付款20-131.7-131.7股票發行.0已支付股息-(1,368.3)--(1,368.3)發展支出-(293.9)293.9--截至12月31日的餘額,2021年36.5 4,369.4(2,422.8)1,663.0 2,063.1 6,134.6 11,843.8 1.上表所載附註編號與綜合財務報表內的附註有關。2.截至2021年12月31日,已發行股票數量為406,475,276股。這包括已發行和流通股402,601,613股和庫藏股3,873,663股。截至2020年12月31日,已發行股份數量為419,497,488股。這包括416,514,034股已發行和流通股以及2,983,454股庫存股。3.法定準備金包括根據《荷蘭民法典》在某些情況下必須建立的準備金。法定儲備金由聯營公司的其他全面收入、對衝儲備金、貨幣換算儲備金及附屬公司的資本化發展開支儲備金組成,與我們的綜合財務報表所記錄的金額相等。見合併財務報表-合併財務報表附註-附註22股東權益。4.金融工具的損益包括在其他全面收入中直接確認的所得税650萬歐元(2020年:歐元(320萬),2019年:歐元(100萬))。


ASML年度報告2021年245 12.應付/應付子公司的金額13.主要會計師費用和服務有關股權的更多信息,請參閲綜合財務報表-綜合財務報表附註-附註22股東權益。11.衍生金融工具我們使用衍生金融工具來管理外幣風險和利率風險。見合併財務報表--合併財務報表附註--附註25財務風險管理。一般本集團因使用金融工具而面臨以下風險:·信貸風險;·流動性風險;及·市場風險(包括外幣風險和利率風險)。綜合財務報表附註載有本集團對上述各項風險的風險敞口、本集團衡量及管理風險的目標、政策及程序,以及本集團的資本管理等資料。這些風險、目標、政策和程序,用於衡量和管理風險,以及資本管理,也適用於ASML Holding N.V.的公司財務報表。公允價值本公司資產負債表所載大部分金融工具的公允價值,包括附屬公司貸款、應收賬款、銀行現金及手頭現金及流動負債,均接近其賬面價值。有關詳情,請參閲附註6財務固定資產及附註12應付/應付附屬公司的金額。應付附屬公司的利息以每月基本利率加上符合市場的加價計算,應付附屬公司的利息以每月基本利率減去少量費用計算,最低為0%。所有應付/應付附屬公司的結餘均須於要求時償還。關於審計師費用的信息,請參閲合併財務報表--合併財務報表附註2021年12月31日、2021年12月31日和2020年12月31日遠期外匯合約和利率掉期的估計公允價值為:截至2020年12月31日資產負債(以百萬歐元為單位)資產負債利率掉期-公允價值對衝162.8-83.9-遠期外匯合約-無對衝佔6.3 4.9 2.7 3.0合計169.1 4.9 86.6 3.0減去非流動部分:利率掉期-公允價值對衝123.8-47.3-總流動部分45.3 4.9 39.3 3.0


ASML年報2021年246 14.後續活動請參閲附註30。綜合財務報表附註所載的後續事項。管理委員會準備:彼得·T·F·M·温林克·馬丁·A·範·登·布林克·羅傑·M·達森·克里斯托弗·D·福凱·弗雷德裏克·施耐德-莫努裏


ASML年度報告2021年247其他信息


ASML年度報告2021年248利潤分配我們的組織章程中最重要的規定的簡要摘要已包括在綜合財務報表-綜合財務報表附註-附註22股東權益中。


ASML年度報告2021年249財務報表的採用管理委員會將向股東大會提交我們的年度報告,以及與此相關的獨立審計師報告,以供通過。


ASML年度報告2021年250投票權我們受適用於大公司的荷蘭法律(“結構性制度”)的相關規定的約束。這些規定的效果是將對某些公司決策和交易的控制權集中在監事會手中。管理委員會成員由監事會任命。監事會應將擬任命的管理委員會成員通知股東大會。股東大會每年至少舉行一次。我們不向我們的股東徵集或提名代理人。然而,股東和其他有權出席股東大會的人可以由委託書代表。股東大會可在監事會或管理委員會認為必要時舉行,如果一名或多名共同代表已發行股本至少10%的普通或累積優先股股東向SB和BoM提出書面要求,詳細説明要處理的業務,則必須舉行股東大會。決議在股東大會上以絕對多數票通過(除非《章程》或荷蘭法律要求不同比例的票數),這類會議一般沒有法定人數要求。在股東大會上,每股股份有權投一票。請參閲附註22的進一步細節,包括特別投票權。股東權益。


ASML在比利時、法國、以色列、意大利、俄羅斯、韓國、新加坡和臺灣設有分公司,分別以Cmer B.V.比利時分公司、Cmer B.V.法國分公司、Cmer B.V.以色列分公司、Cmer B.V.意大利分公司、ASML香港有限公司韓國分公司、ASML Trading B.V.俄羅斯分公司、ASML香港有限公司新加坡分公司、ASML香港有限公司臺灣分公司、ASML臺灣分公司、Hermes Microvision股份有限公司臺灣分公司、Hermes Microvision股份有限公司臺灣分公司和Hermes Microvision股份有限公司臺灣分公司經營。


ASML年度報告2021年252提交給:ASML Holding N.V.股東大會和監事會的獨立審計師報告2021年年度報告中包括的財務報表審計報告我們認為:·所附合並財務報表真實、公允地反映了ASML Holding N.V.截至2021年12月31日的財務狀況及其結果和當時結束的年度的現金流量,符合歐盟(EU-IFRS)採用的國際財務報告準則和荷蘭民法典第二冊第9部分;·隨附的公司財務報表真實、公允地反映了ASML Holding N.V.截至2021年12月31日的財務狀況,以及根據《荷蘭民法典》第二冊第9部分的規定,截至該年度的業績。我們審計的內容我們審計了總部設在維爾德霍温的ASML Holding N.V.(該公司)2021年的財務報表。財務報表包括合併財務報表和公司財務報表。綜合財務報表包括:1.截至2021年12月31日的綜合財務狀況表;2.2021年綜合報表:損益、全面收益、權益變動和現金流量;3.附註,包括重要會計政策摘要和其他説明信息。公司財務報表包括:1.截至2021年12月31日的公司資產負債表;2.公司2021年損益表;3.包含重大會計政策摘要和其他解釋性信息的附註。根據我們的意見我們是根據荷蘭法律,包括荷蘭審計準則進行審計的。根據這些準則,我們的責任在我們報告的“我們對財務報表的審計責任”一節中有進一步的描述。我們獨立於ASML Holding N.V.,符合荷蘭的《會計準則》(VIO,《專業會計師職業道德守則》,一項關於獨立性的規定)和其他相關的獨立法規。此外,我們還遵守了《會計準則》(VGBA,荷蘭道德準則)。我們的審計程序是在我們對整個財務報表進行審計時確定的。我們對關鍵審計事項、舞弊和不遵守法律法規、持續經營和與氣候有關的風險的意見應在這種背景下看待,而不是作為單獨的意見或結論。我們相信我們獲得的審計證據是充分和適當的,可以為我們的意見提供依據。在我們已經得到認可的時候


ASML年度報告2021年253我們審計的重要性·2.1億歐元的重要性·2021年集團審計税前收入的3.0%·覆蓋總資產的91%·覆蓋總淨銷售額的88%·收入確認-確定不同的績效義務和總合同對價的分配,以及收入切斷持續經營和欺詐/Noclar·持續經營:未發現重大持續經營風險·欺詐和不遵守法律法規(Noclar):我們確定了審計準則中規定的控制和收入確認的管理層優先事項,假設欺詐風險氣候相關風險·公司與氣候變化相關的戰略已披露·我們已考慮氣候相關風險對我們在財務報表意見中識別和評估重大錯報風險的影響·不合格重要性基於我們的專業判斷,我們確定整個財務報表的重要性為2.1億歐元(2020年:1.6億歐元)。重要性主要參考所得税前的收入來確定。在對財務報表使用者的共同信息需求進行分析之後,我們認為税前收益是最合適的基準。我們還考慮到了錯誤陳述和/或可能的錯誤陳述,我們認為這些錯誤陳述和/或可能的錯誤陳述由於質量原因對財務報表使用者具有重大意義。我們同意監事會審計委員會的意見,在審計過程中發現的超過1,050萬歐元的錯誤陳述將向他們報告,以及我們認為必須以定性理由報告的較小的錯誤陳述。集團審計範圍ASML Holding N.V.是一組實體的領頭羊。該集團的財務信息包括在ASML Holding N.V.的財務報表中。該集團在荷蘭的業務高度集中,我們的審計方法反映了這一點,但非畢馬威會計師事務所為集團報告目的進行的審計除外,該審計涉及Carl Zeiss SMT Holding GmbH&Co.kg的股權。我們指導了非畢馬威審計師,召開了討論審計結果的電話會議,並對報告的信息進行了評估。對於不在審計範圍內的剩餘人口,除其他外,我們執行了分析程序,以證實我們的風險評估,以確保我們的範圍在整個審計過程中仍然是適當的。通過執行上述程序,我們能夠獲得關於集團財務信息的充分和適當的審計證據,以提供對綜合財務報表的意見。總資產和總淨銷售額的審計覆蓋率分別為91%和88%。審核對持續經營的迴應-未發現重大持續經營風險管理層已進行持續經營評估,並得出結論認為財務報告以持續經營為基礎編制是合理的。為了評估管理層的評估,除其他外,我們執行了以下程序:·我們考慮了管理層對持續經營風險的評估是否包括我們通過審計所瞭解的所有相關信息;·我們分析了公司截至年底的財務狀況,並將其與上一財年進行了比較,以確定重大持續經營風險的指標。


ASML年度報告2021年254我們的風險評估程序的結果沒有理由執行額外的審計程序。審計對欺詐和不遵守法律法規的風險的反應我們指的是年度報告中的“我們如何管理風險”和“負責任的業務”兩章,其中管理層包括其風險評估。在《監事會報告》一章中,監事會對此進行了反思。作為審計的一部分,我們瞭解了公司及其業務環境,並評估了公司與欺詐和不合規有關的內部控制。除其他事項外,這些程序包括:·檢查和核實員工是否可以獲得公司《員工和供應商行為守則》和《直言不諱政策》;·評估公司事件登記冊上報告的事項及其調查可能存在欺詐和違規跡象的程序;·評估管理層和其他員工擔任的其他職位,並鑑於可能存在的利益衝突,特別注意程序和治理/遵守情況;·評估關於可能存在欺詐和違規跡象的調查報告;·評估與監督當局和監管機構的通信以及法律確認函;·與管理層、監事會審計委員會和其他相關職能進行相關調查,如內部審計和法律。不遵守法律法規我們與我們的法醫專家一起評估了不符合規定的風險因素,以考慮這些因素是否表明財務報表中存在重大錯報風險。根據上面列出的程序,我們確定了最有可能對財務報表產生重大影響的法律和監管框架:·出口立法(反映公司的全球客户基礎);·信息保護立法(反映公司大量的專利和研發支出);·就業立法(反映公司龐大的和地理上多樣化的勞動力,包括一般數據保護條例)。我們的審計程序沒有揭示被認為對財務報表具有重大意義的不遵守情況的跡象或合理懷疑。我們與我們的法醫專家一起確定了欺詐風險因素,並評估了這些因素是否表明財務報表中存在重大錯報風險。根據審計準則中規定的假定風險,我們確定了與收入確認和管理層超越控制有關的欺詐風險,其中第一個風險被描述為我們關鍵審計事項的一部分。管理層的假定風險凌駕於控制之上管理層處於一種獨特的地位,可以通過推翻原本看起來有效運作的控制來操縱會計記錄和編制欺詐性財務報表。我們確認這一風險主要存在於涉及判斷的領域,因為管理層可能會對編制與存貨估值、遞延税項資產和基於股份的付款有關的估計時使用的不現實或不可靠的假設進行合理化。我們的迴應我們評估了設計和實施,並在認為適當的情況下,測試了減少欺詐風險的內部控制的運作有效性,例如與日記帳分錄和估計有關的流程。


ASML年度報告2021年255我們與法醫專家和IT審計師合作,對高風險日記帳條目進行了數據分析,並評估了公司管理層的主要估計和偏差判斷,包括對過去幾年關於收入確認(我們指關鍵審計事項)、庫存估值、遞延税項資產估值和基於股份的付款的估計的回顧。在我們通過數據分析確定意外日記帳分錄或其他風險的情況下,我們執行了額外的審計程序來處理每個確定的風險,包括測試交易回源信息。我們在審計中加入了不可預測性因素,包括選擇增加的樣本量進行控制測試,並對選定的資產負債表和損益餘額進行數據分析,以確定可能增加的欺詐風險。根據我們的觀察,我們的審計程序沒有揭示被認為對財務報表有實質性影響的舞弊跡象或合理懷疑。對氣候相關風險的審計對策管理部門負責根據適用的財務報告框架編制財務報表,包括考慮是否對氣候相關風險和承諾的影響進行了適當的核算和披露。管理層在本財務報表中分析了與氣候有關的風險對公司未來的業務和運營以及對其會計的影響。根據設定的內部或外部目標評估管理層戰略的有效性不在我們審計財務報表的範圍內。作為審計的一部分,我們考慮與氣候有關的風險對賬目和披露的潛在影響,包括當年財務報表中的估計和判斷,以確定財務報表是否沒有重大錯報。這包括與管理層和監事會討論年度報告“氣候與能源”一章中披露的公司與氣候變化有關的戰略,以及檢查會議紀要和外部溝通,以瞭解管理層做出的與氣候相關的重要承諾、戰略和計劃。我們的主要審計事項主要審計事項是指在我們的專業判斷中,對我們的財務報表審計最重要的事項。我們已經向監事會傳達了一項關鍵的審計事項。關鍵的審計問題並不是所討論的所有問題的綜合反映。這一問題是在我們對整個財務報表進行審計並形成我們的意見時處理的,我們不就此問題提供單獨的意見。收入確認-確定不同的履約義務和分配總合同對價,以及收入截止説明綜合財務報表附註2中披露,截至2021年12月31日的一年,系統淨銷售額為136.528億歐元。系統銷售通常是根據批量採購協議(VPA)與客户簽訂的。這些VPA包含多項履約義務,例如交付貨物、安裝、保修和培訓。一旦確定了這些履約義務,合同的全部對價,包括折扣、免費提供的商品或服務以及可用於未來購買的積分,都將分配給履約義務。我們將收入確認,特別是確定增值税中的履約義務,以及合同總對價的分配,包括折扣、提供可用於未來購買的免費商品或服務和積分,作為一項關鍵審計事項,因為這本身就是一項判斷,而且很複雜。因此,評估公司對已確定的履約義務的判斷,特別是對要交付的系統數量的估計,以及將合同總對價分配給這些履約義務,需要高度的審計師判斷力。


ASML年報2021年256此外,考慮到單個系統的高價值,我們發現了一個風險,即當控制權轉移到客户手中時,與系統銷售相關的收入不會得到確認,導致收入不會在正確的會計期間得到確認。這些風險本質上包括管理層故意誇大或低估收入的欺詐風險,因為管理層可能會感受到實現計劃結果的壓力。我們的迴應以下是我們為解決這一關鍵審計問題而執行的主要程序。我們對設計進行了評估,並測試了與關鍵審計事項相關的某些內部控制的運作效果。這包括與VPA評估有關的控制,以確定履約義務和將合同總對價分配給這些履約義務,正確應用於個別銷售交易,以及轉移會計期間結束時確認的收入的控制評估。我們通過檢查選定的VPA和相關文件、執行與公司相關運營職能的查詢以及進行敏感性分析來評估績效義務的確定和合同對價總額的分配,以評估預計交付的系統數量對分配的影響。此外,我們測試了VPA下選定的公認銷售交易,並對前期估計進行了回顧,以評估管理層估計要交付的系統數量的能力。此外,我們檢查了公司用於將合同對價分配到已確定的履約義務的模型的準確性。最後,我們在年終前後檢查了一批個別銷售交易,以評估收入是否在正確的會計期間確認,其中包括檢查增值税和採購訂單,檢查客户驗收文件,向第三方查詢和進行庫存清點。我們觀察到,我們的程序在確認已確定的不同履約義務的收入確認、在複雜收入合同中分配合同總對價以及與年終前後系統銷售有關的收入截斷方面取得了令人滿意的結果。年度報告中包含的其他信息除財務報表和我們的審計師報告外,年度報告中還包含其他信息。根據執行的以下程序,我們得出結論,其他信息:·與財務報表一致,不包含重大錯報,以及·包含《荷蘭民法典》第二卷第9部分要求的管理報告和其他信息。我們已經閲讀了其他信息。根據吾等通過審計財務報表或其他方式獲得的知識和理解,吾等已考慮其他信息是否包含重大錯報。通過執行這些程序,我們符合《荷蘭民法典》第二冊第9部分和荷蘭標準720的要求。所執行程序的範圍小於我們審計財務報表時所執行的程序的範圍。ASML Holding N.V.的管理層負責準備其他信息,包括《荷蘭民法典》第二冊第9部分所要求的信息。


ASML年度報告2021年257關於其他法律和監管要求以及ESEF其他法律和監管報告要求的報告我們於2015年4月30日被股東大會聘請為ASML Holding N.V.的審計師,截至2016年的審計,並自該年以來一直作為審計師運營。我們沒有提供歐盟條例第5(1)條中提到的被禁止的非審計服務,該條例關於對公共利益實體的法定審計的具體要求。歐洲單一電子格式(ESEF)ASML Holding N.V.在歐洲單一電子格式(ESEF)準備了年度報告。關於單一電子報告格式規範的監管技術標準,歐盟委員會授權條例(EU)2019/815中規定了這種格式的要求(這些要求在下文中稱為:ESEF上的RTS)。我們認為,以XHTML格式編制的年度報告,包括ASML Holding N.V.報告包中包含的部分加標籤的綜合財務報表,在所有重要方面都是根據ESEF的RTS編制的。管理層負責根據ESEF的RTS編制包括財務報表在內的年度報告,從而將各種組成部分合併為一個單一的報告包。我們的責任是為我們的意見獲得合理的保證,以確定本報告包中的年度報告是否與ESEF的RTS一致。我們的程序考慮了NBA(荷蘭特許會計師協會)的Alert 43,其中包括:·瞭解實體的財務報告流程,包括報告包的準備;·獲取報告包並執行驗證,以確定包含內聯XBRL實例文檔和XBRL擴展分類文件的報告包是否已按照ESEF上的RTS中包含的技術規範編制;·檢查報告包中與合併財務報表相關的信息,以確定是否應用了所有必需的標記,以及這些是否符合ESEF上的RTS。財務報表責任説明管理層和財務報表監事會根據歐盟國際財務報告準則和《荷蘭民法典》第2卷第9部分負責財務報表的編制和公平列報。此外,管理層應對管理層認為必要的內部控制負責,以便能夠編制沒有重大錯報的財務報表,無論是由於欺詐還是錯誤。在這方面,管理層在監督委員會的監督下,負責預防和發現欺詐和不遵守法律和條例的行為,包括確定解決其後果和防止再次發生的措施。作為編制財務報表的一部分,管理層負責評估公司作為持續經營企業繼續經營的能力。根據上述財務報告框架,管理層應使用持續經營會計基礎編制財務報表,除非管理層打算清算本公司或停止運營,或除了這樣做之外別無選擇。管理層應在財務報表中披露可能對公司作為持續經營企業的持續經營能力產生重大懷疑的事件和情況。監事會負責監督公司的財務報告流程。我們對財務報表的審計責任我們的目標是以一種使我們能夠為我們的意見獲得充分和適當的審計證據的方式來計劃和執行審計工作。我們的審計是在很高的但不是絕對的保證水平下進行的,這意味着我們可能無法在審計期間發現所有重大錯誤和舞弊。


ASML 2021年258年度報告中的錯誤陳述可能是由欺詐或錯誤引起的,如果能夠合理地個別或總體地認為這些錯誤陳述會影響用户根據這些財務報表作出的經濟決定,則被視為重大錯誤。重要性影響我們審計程序的性質、時間和範圍,以及對已發現的錯誤陳述對我們意見的影響的評估。我們對財務報表審計責任的進一步説明載於本審計員報告的附錄。這些描述構成了我們審計師報告的一部分。安斯特爾文,2022年2月9日畢馬威會計師事務所


ASML年度報告2021年259附錄描述我們對財務報表的審計責任我們根據荷蘭審計準則、道德要求和獨立性要求,在整個審計過程中發揮了專業判斷並保持了專業懷疑態度。除其他外,我們的審計包括:·識別和評估財務報表重大錯報的風險,無論是由於欺詐還是錯誤,設計並執行鍼對這些風險的審計程序,以及獲得充分和適當的審計證據,為我們的意見提供依據。由於欺詐可能涉及串通、偽造、故意遺漏、歪曲陳述或凌駕於內部控制之上,因此無法發現由欺詐造成的重大錯報的風險高於錯誤造成的風險;·瞭解與審計有關的內部控制,以便設計適合情況的審計程序,但不是為了對公司內部控制的有效性發表意見;·評價所用會計政策的適當性以及管理層作出的會計估計和相關披露的合理性;·總結管理層使用持續經營會計基礎的適當性,並根據獲得的審計證據,是否存在與事件或條件有關的重大不確定性,這些事件或條件可能使人對公司作為持續經營企業的能力產生重大懷疑。如果我們得出結論認為存在重大不確定性,我們必須在我們的審計師報告中提請注意財務報表中的相關披露,或者如果此類披露不充分,則需要修改我們的意見。我們的結論是基於截至我們的審計師報告日期所獲得的審計證據。然而,未來的事件或條件可能會導致一家公司停止作為一家持續經營的企業繼續經營;·評估財務報表的整體列報、結構和內容,包括披露;以及·評估財務報表是否以實現公平列報的方式代表基本的交易和事件。吾等對該意見負完全責任,並因此有責任就本集團內實體或業務活動的財務資料取得足夠適當的審計證據,以表達對財務報表的意見。在這方面,我們還負責指導、監督和執行集團審計。吾等與監事會審計委員會就(其中包括)審計的計劃範圍和時間以及重大審計結果(包括我們在審計期間發現的任何內部控制方面的重大發現)進行溝通。在這方面,我們還根據歐盟條例第11條關於對公共利益實體進行法定審計的具體要求,向審計委員會提交另一份報告。本補充報告中包含的信息與我們在本審計師報告中的審計意見一致。我們向監事會審計委員會提供一份聲明,表明我們遵守了有關獨立性的相關道德要求,並與他們溝通所有關係和其他可能被合理地認為與我們的獨立性有關的事項,以及在適用情況下的相關保障措施。從與監事會審計委員會溝通的事項中,我們確定了關鍵的審計事項:對財務報表審計最重要的事項。我們在我們的審計師報告中描述了這些事項,除非法律或法規禁止公開披露該事項,或者在極其罕見的情況下,不傳達該事項符合公眾利益。


ASML年度報告2021年260非財務報表


ASML年報2021年261獨立審計師向:ASML Holding N.V.的股東大會和監事會提交的保證報告。我們的結論我們已審閲了ASML Holding N.V.(以下簡稱:“本公司”)截至2021年12月31日止年度的非財務資料(下稱:非財務資料)。根據所執行的程序,吾等並無注意到任何事項令吾等相信該等非財務資料在所有重大方面並非按照吾等報告“呈報準則”一節所述的呈報準則編制。非財務信息包括:2021年概覽(第4-7頁)、我們是誰和我們做什麼(第8-26頁)、我們的戰略(第33-36頁)、我們在2021年的表現(第37-40頁和第51-138頁)和非財務報表(250-277頁),但不包括歐盟分類(256-257頁)。我們的結論依據我們按照荷蘭法律進行審查,包括荷蘭標準3810N:“與可持續性報告有關的保證活動”,這是一項特定的荷蘭標準,基於國際保證活動標準(ISAE)3000:“審計或審查歷史財務信息以外的保證活動(證明活動)”。這種接觸的目的是獲得有限的保證。我們在這方面的責任在我們報告的“審計員的責任”一節中作了進一步説明。我們獨立於ASML Holding N.V.,根據《會計準則》(VIO,一項關於獨立性的規定),獨立於ASML Holding N.V.。此外,我們還遵守了《會計準則》(VGBA,荷蘭道德準則)。我們相信,我們所獲得的保證證據是充分和適當的,可以為我們的結論提供基礎。報告標準非財務信息需要與報告標準一起閲讀和理解。ASML Holding N.V.單獨負責選擇和應用這些報告標準,並考慮到與報告相關的適用法律和法規。用於編制非財務信息的報告準則為全球報告倡議(GRI)的可持續性報告準則以及年報“關於非財務信息”一節披露的適用補充報告標準。重要性基於我們的專業判斷,我們為非財務信息的每個相關部分以及作為一個整體的非財務信息確定了重要性水平。在評估我們的重要性水平時,我們考慮了定量和定性的考慮因素,以及信息與利益相關者和公司的相關性。我們同意監事會的意見,即應向監事會報告在審查期間發現的、我們認為必須在數量或質量基礎上報告的錯誤陳述。集團審查範圍ASML Holding N.V.是一組實體的母公司。非財務信息在年報“關於非財務信息”中規定的範圍內納入了這組實體的綜合信息。我們的小組審查程序包括公司(合併)層面和實體層面的審查程序。我們在審查程序範圍內選擇實體的主要依據是這些實體對綜合信息的個別貢獻。通過在實體層面執行我們的審核程序,以及在公司層面執行額外的審核程序,我們能夠獲得關於集團非財務信息的充分和適當的保證證據,以提供關於非財務信息的結論。6 39 0 40 6 89 67-68)。5(2 5


ASML年度報告2021年262對我們審查範圍的限制非財務信息包括預期信息,如雄心、戰略、計劃、預期和估計。從本質上講,未來的實際結果是不確定的。我們不對非財務信息中的預期信息的假設和可實現性提供任何保證。非財務信息中對外部來源或網站的引用不是我們審查的非財務信息本身的一部分。因此,我們不對此信息提供保證。管理委員會的責任本公司的管理委員會負責根據本報告“報告準則”一節所述的適用準則編制非財務資料,包括確定利益相關者和重大事項的定義。管理委員會就非財務信息的範圍和報告政策所作的選擇在年報的“關於非財務信息”一節中概述。此外,管理委員會負責它認為必要的內部控制,以便能夠編制無重大錯報的非財務信息,無論是由於欺詐還是錯誤。除其他事項外,管理委員會負責監督公司的報告程序。審計師的職責我們的責任是計劃和執行我們的審查,使我們能夠為我們的結論獲得足夠和適當的確鑿證據。為獲得有限程度的保證而執行的程序旨在確定信息的可信程度,在性質和時間上有所不同,而且與合理的保證接觸相比,程度較小。在有限的保證活動中獲得的保證水平大大低於在進行合理的保證活動時所獲得的保證水平。我們應用‘Nadere Voorschriten KwaliteitsSysyen’(NVKS,質量管理體系的規定),並相應地保持一套全面的質量控制體系,包括與遵守道德要求、專業標準以及適用的法律和法規要求有關的文件化政策和程序。根據荷蘭標準3810N、道德要求和獨立性要求,我們在整個審查過程中發揮了專業判斷並保持專業懷疑態度。我們的審查包括:·對外部環境進行分析,瞭解相關的社會主題和問題,以及公司的特點;·評估所使用的報告標準的適當性、其一致應用和非財務信息中的相關披露。這包括評價利益攸關方對話的結果和管理委員會作出的估計的合理性;·瞭解非財務信息的報告程序,包括對與我們的審查相關的內部控制有一般瞭解;·確定非財務信息中最有可能發生重大錯報的領域,無論是由於欺詐還是錯誤,設計和執行鍼對這些領域的保證程序,並獲得充分和適當的保證信息,為我們的結論提供基礎。我們的程序包括:-採訪負責戰略、政策和結果的管理層和相關人員;-採訪負責為非財務信息提供信息、對非財務信息執行內部控制程序並將其中的數據合併的相關人員;-獲得非財務信息與公司基本記錄相符的保證信息;-在有限的測試基礎上審查相關的內部和外部文件;-對數據和趨勢進行分析審查。·評價非財務信息與報告中未列入我們審查範圍的信息的一致性;·評價非財務信息的列報、結構和內容;·考慮包括披露在內的整個非財務信息是否反映了所用報告標準的目的。除其他事項外,我們已與管理委員會和監事會就審查的計劃範圍和時間以及我們在審查期間確定的重要結論進行了溝通。安斯特爾文,2022年2月9日畢馬威會計師事務所


ASML年度報告2021年263關於非財務信息報告範圍本年度報告1披露的內容基於2018年進行的全面重要性評估為ASML和我們的利益相關者確定的重大主題。作為重要性評估的一部分,我們要求內部和外部利益相關者確定主題在價值鏈中的哪裏有影響,我們在哪裏包括GRI標準所要求的邊界)。更多內容請閲讀:非財務報表-重要性評估。重要性評估被用作制定2019-2025年期間可持續發展戰略的投入。(關鍵)已確定績效指標來報告我們執行這一可持續發展戰略的情況。在我們的投資者日期間,我們宣佈了我們最新的可持續發展戰略,我們將於2022年報告這一戰略。報告範圍表(見下一頁)澄清了按主題報告的數據範圍,並解釋了所提供數據的範圍與報告內容範圍的不同之處。本年度報告一般涵蓋ASML在2021年1月1日至2021年12月31日的業績。除非另有説明,本報告中的財務信息來源於我們符合歐盟國際財務報告準則的財務報表。本報告中有關我們在可持續發展領域的表現的信息的報告基礎是根據GRI可持續發展報告標準編制的,並根據“核心”選項列報。我們遵守GRI標準(GRI內容索引)的詳細信息可在網站上提供的單獨報告副刊中找到。作為歐盟非財務報告指令(NFRD)的一部分,我們將非財務信息和多樣性信息的披露納入其中。這一披露於2017年實施,並作為《荷蘭民法典》的一部分頒佈。此外,根據《分類條例》第8條,自2022年1月1日起,ASML在2021年報告年度內須遵守某些披露要求。更多內容請閲讀:歐盟分類。1.我們出版了兩份年度報告。年度報告的一個版本是按照美國公認會計準則編制的。年度報告的另一個版本是根據歐盟-國際財務報告準則編寫的,也符合《荷蘭民法典》第二冊362.9條。出於內部和外部報告的目的,我們採用美國公認會計準則。美國公認會計原則是我們設定財務和運營業績目標的主要會計標準。報告流程每個主題都有一名負責人,負責主題抱負、戰略和相關業績指標,以及及時提供報告和監測戰略執行情況的內容和相關數據。數據由財務部門審核和合並。財務部門還負責年度報告的報告和規劃過程。報告指標本報告所包括的合併財務報表是經過審計的。更多信息請參閲:其他信息-獨立審計師報告。本報告披露的非財務數據來自不同的來源,我們運營的子公司和部門內部處理數據的方式也不同。由於測量和估計數據的侷限性,這造成了一定程度的不確定性。我們繼續致力於改善我們的可持續性控制環境和數據收集流程。客户羣中的活躍系統我們監控我們所服務的客户羣中活躍系統的數量。這包括我們的EUV、DUV和PAS5500系統。我們計算了所有已售出的仍在使用的系統(EUV、DUV和PAS5500系統)的百分比。外地的一些系統可能沒有得到ASML的服務,但可以運作。對於指標‘活動系統的百分比’,我們對活動但未由ASML提供服務的系統部分進行假設。根據歷史信息和經驗,我們確定33%的非ASML服務系統仍在該領域處於活動狀態。範圍3排放我們測量和報告價值鏈中我們活動的間接排放-範圍3排放。這一類別包括我們運營產生的排放,以及客户對我們產品的上游供應鏈和下游使用產生的排放。更多內容請閲讀:我們在2021年的表現-環境-氣候和能源-碳足跡戰略。


ASML《2021年年度報告》264在使用所報告的信息時,需要考慮以下方法、假設和數據可靠性:·由於其性質,範圍3的排放數據包括時間滯後。因此,報告年度報告的排放量是使用一年前的實際數據來源計算的。·報告的排放量符合《温室氣體議定書》,並按照《温室氣體議定書》發佈的範圍3核算和報告標準中所述的九個類別進行計算,被認為與我們和我們的價值鏈有關。·這些類別包括:第1類採購的商品和服務、第2類資本貨物、第3類與燃料和能源有關的活動、第4類和第9類上游/下游運輸和分銷、第5類經營產生的廢物、第6類商務旅行、第7類員工通勤、第11類銷售產品的使用,以及第12類銷售產品的報廢處理。其餘五個類別被認為與ASML和我們的價值鏈無關或無關緊要。因此,我們將這些類別排除在我們的範圍3排放評估之外。·用於計算我們價值鏈碳足跡的應用排放係數來自最新的DEFRA(英國環境、食品和農村事務部)2021年的排放係數。·適用於範圍3第11類已售出產品使用的計算方法的基礎是系統能量計量的Semi S23標準。此外,我們還應用了某些假設,如系統可用性水平和性能水平。這些可能會因系統增強而更改加班時間。·適用於範圍3第1類採購的貨物和服務的計算方法的基礎是支出。因此,它依賴於基於支出的排放係數,這是對商品和服務温室氣體強度的間接衡量。·此外,我們還從供應商那裏收集了Cat.4上游運輸和配送以及Cat.6商務旅行的實際排放數據,這些數據約佔範圍3總排放量的3%。


ASML 2021年265年度報告報告範圍表下表澄清了按主題報告的數據範圍,並解釋了所提供數據的範圍與報告內容範圍的不同之處。被排除在以下範圍內的公司沒有某些子章的數據。(子)年度報告範圍我們是誰和我們做什麼我們如何創新ASML全球客户親密度ASML全球財務業績指標ASML全球氣候和能源碳足跡戰略ASML地點超過250 FTE,不包括BG循環經濟減少我們運營中的浪費ASML地點超過250 FTE,不包括BG循環經濟從已安裝的ASML產品中重複使用部件和材料,不包括通過翻新ASML產品的BG循環成熟產品,不包括BG我們的人展望ASML全球,不包括BG統一文化ASML全球,不包括BG全球員工體驗ASML,不包括BG-注:指標‘缺勤率’不包括Cmer和HMI。由內部候選人填補的指標空缺職位的範圍(%)不包括ASML美國。強大的全球領導力ASML,不包括BG確保全球員工安全ASML,不包括BG社區參與社區參與計劃ASML,不包括BG-注:技術促進是ASML荷蘭唯一的ASML基金會全球ASML,不包括BG與全球研究機構和大學的創新生態系統合作ASML,不包括BG與全球研發合作伙伴ASML,不包括HMI和BG支持初創企業和規模ASML荷蘭負責的供應鏈採購和供應鏈戰略ASML全球,不包括BG供應商績效管理ASML全球,不包括BG負責的供應鏈ASML全球,不包括BG負責的供應鏈ASML,不包括BG負責的商業道德和行為準則ASML全球,不包括BG產品安全ASML產品水管理ASML地點超過250 FTE,不包括BG-不包括總超純水消耗量和總回收和再利用水,僅限於荷蘭的Veldhoven、臺灣的林口和臺南的HMI。REST ASML全球


ASML 2021年年度報告266範圍變化·與2020年年度報告相比,範圍發生了以下變化:·2021年非財務數據的“我們業務的碳足跡”、“水管理”和“減少浪費”的範圍擴大,製造地點為“聖何塞”、“臺南”和“其他”。其他包括總和超過250 FTE的地點,不包括BG。·《GRI 306:2020年廢物》要求將“從處置中轉移的廢物”和“直接用於處置的廢物”區分開來。《循環經濟-減少廢物》的非財務數據佈局已更改,以符合最新的廢物GRI。·將2019年、2020年和2021年的非金融數據按地區劃分,擴大了“公平薪酬”的範圍。·“培訓總開支”的來源從人力資源報告變為更詳細的SAP報告。·自2021年起,優信不再對韓國進行總體排名。2021年報告的結果是基於定製的排名報告。我們修正了荷蘭隊2020年的成績,包括了總排名。根據管理委員會的要求,我們對非財務信息進行了獨立審查。我們的外部審計師(畢馬威)被要求審查這些非財務信息。關於畢馬威的保證報告,包括其開展工作的細節,請參閲:非財務報表--獨立審計師的保證報告。


ASML年度報告2021年267歐盟分類法規(‘EU Taxonomy’)包含一份經濟活動清單和業績標準,以評估經濟活動對六個不同環境目標的貢獻。其目的是圍繞可持續的經濟活動創造一種普遍的語言和理解。歐盟分類的報告要求包括披露有關活動如何以及在多大程度上與歐盟分類定義的環境可持續活動相關聯的信息,使用可持續營業額、資本支出(資本支出)和運營支出(運營支出)比例的關鍵績效指標(KPI)。2021年12月9日,歐洲聯盟正式通過了其授權的分類條例,其中規定了前兩個環境目標的詳細要求:“減緩氣候變化”和“適應氣候變化”。2021年的報告要求僅限於披露符合條件的經濟活動。ASML符合本授權條例中對經濟活動的描述。目前,關於如何解釋歐盟分類法的指導意見很少。相關的規則和條例仍在制定中,更多的經濟活動和環境目標有待進一步闡述,未來幾年將提供更多的指導。評估ASML將整個價值鏈考慮在內,ASML預計將為其他部門的温室氣體減排做出重大貢獻,如我們的戰略和氣候與能源部分所披露的那樣。ASML是顯著減少能源消耗和温室氣體排放的技術的推動者。然而,根據目前適用的資格標準,ASML的製造技術必須直接使其他活動能夠在環境表現的低碳水平下進行,以實現這一目標。此外,活動3.6還要求製造技術的經濟活動必須以大幅減少其他經濟部門的碳排放為目標,以實現這一目標。ASML認為,目前沒有足夠的指導來確定在哪些條件下滿足這一“目的”的標準。因此,我們選擇目前披露的ASML總淨銷售額的0%作為合格的。資本支出:歐盟分類下的資本支出總額涉及以下合併財務報表項目:·房地產、廠房和設備投資(附註13)·無形固定資產投資(附註12)·使用權資產的增加(附註14)與符合分類標準的活動有關的資本支出總額的比例是通過評估每個資產組的經濟活動來確定的。這項評估得出的結論是,符合條件的資本支出僅限於“對房地產、廠房和設備的投資”,因為它可以與以下經濟活動掛鈎:·4.1利用太陽能光伏技術發電·5.5收集和運輸來源分離的非危險廢物·6.4個人移動設備的運營、循環物流這導致符合分類條件的活動達到0.1%。運營支出:歐盟分類下的運營支出總額涉及以下合併財務報表行項目:·研發成本與符合分類標準的活動相關的運營支出總額的比例通過評估未資本化但與資產負債表上的資產直接相關的成本的經濟活動來確定。這些可與以下經濟活動聯繫在一起:·9.1接近市場研究、開發和創新這導致符合分類條件的活動達到1%。營業額:根據歐盟分類,營業額總額等於合併財務報表中“淨系統銷售額”和“淨服務、安裝和現場選項銷售額”項下的“總淨銷售額”。符合分類標準的活動佔總營業額的比例是通過評估ASML執行的營業額產生活動是否包括在歐盟分類中來確定的。在目前的歐盟分類下,沒有任何活動明顯與ASML的業務相匹配。這可以(除其他外)解釋為,歐盟優先確定能源和交通運輸等温室氣體排放高的部門的經濟活動。活動3.6“為其他部門製造低碳技術”與ASML的業務活動聯繫最密切,因為這項活動與NACE代碼28.99“半導體制造機械的製造”相關。


ASML年度報告2021年268在歐盟分類法中對上述活動的描述沒有重疊。因此,在確定所列的三個合格關鍵績效指標時,不存在重複計算的風險。此外,對反洗錢組織現有業務活動的細目進行了核對,這也限制了經濟活動之間重複計算的風險。我們根據KPI確定了我們的2021年符合歐盟分類標準的經濟活動如下:分類法規KPI(非GAAP措施)營業額資本支出運營支出與IFRS合併財務報表的鏈接房地產、廠房和設備研發成本的總淨銷售投資分類符合條件的活動(%)0%*0.1%**1%分類不符合條件的活動(%)100%100%99%總計100%100%100%*0.1%主要與太陽能電池板和廢物分類垃圾箱的投資有關。**1%主要用於致力於減少、避免或消除温室氣體排放的項目的研究費用


公司機密-草案-有待進一步審查ASML年度報告2021年269非財務指標非財務關鍵績效指標(KPI)在我們在半導體價值鏈中所處位置的可持續發展報告的不同章節中報告。下表報告了其他非財務業績指標。客户親密度描述2019 2020 2021評論總體忠誠度評分(客户反饋調查)n/a 72.6%n/a調查每24個月進行一次(上一次調查在2020年9月進行)VLSI調查結果芯片製造設備的大型供應商-Score(評分0至10)9.2 9.3 9.2 FAB設備的供應商-Score(0至10)9.2 9.3 9.2光刻設備的技術領先地位-Score(0至10)9.6 9.7 9.5


ASML年度報告2021年270氣候與能源-能源描述2019 2020 2021評論能源消耗(TJ)1,367 1,412 1,689全球節能通過項目(TJ)80 114 13 2021年我們開始了2021-2025年新的總體規劃期,目標是到2025年底實現100 TJ的節能。節餘是通過改進技術安裝的項目或改進生產工藝的項目實現的。節餘中包括的能源類型:燃料和電力。2019年和2020年的數據與2016-2020年總體規劃相關。報告的節餘與基準年相比是累計的,因此它們不具有可比性。每個地點購買的電力(在德克薩斯州)維爾德霍温751 802 881威爾頓102 114 120林口36 35 34聖地亞哥162 167 176聖何塞--自2021年起該指標的範圍為28。臺南--自2021年以來,這一指標的範圍為36個。其他--自2021年以來這一指標的範圍為47個。其他包括超過250 FTE總和的地點。從不可再生資源中消費的化石燃料總數為1 051 1 118 1 322(以臺灣省為單位)1所消費的化石燃料僅包括天然氣。維爾德霍温159 141 184威爾頓111 112 127林口-這個生產基地不使用天然氣。聖地亞哥46 40 43聖何塞--自2021年以來,該指標的範圍為5個。臺南-自2021年以來一直在這一指標的範圍內。這個生產基地不使用天然氣。其他--自2021年以來這一指標的範圍為8個。其他包括超過250 FTE總和的地點。來自可再生能源的燃料總數316 293 367(以臺灣省為單位)-1.所用換算係數的來源為荷蘭排放管理局和美國能源情報署。


ASML年度報告2021 271氣候與能源-二氧化碳排放説明2019 2020 2021評論排放強度(範圍1+2+3)0.01 0.61 0.47 2020年排放強度的定義發生了變化,計算方法為範圍1、2和3排放量(以千噸為單位)除以總收入(以百萬為單位)。重新計算的2019年數字為0.56。2019年,排放強度的計算方法是:範圍1和範圍2的淨排放量(單位:千噸)除以總收入(單位:百萬)。到2020年,範圍3將包括在計算中。能源屬性證書類型(TJ)原產地擔保(GO)751 802 883可再生能源證書(REC)264 281 331 I-RECS-35-總計1,015 1,118 1,214原產地能源屬性證書(GO)類型116 110 121可再生能源證書(REC)21 21 24 I-RECS-9-總計137 140 145重大罰款和非貨幣制裁-1-2020年,北京人機局因沒有環境許可證而被罰款一次。因不遵守環境法律法規而被處以的鉅額罰款的貨幣價值(千歐元)-70-


ASML年度報告2021 272循環經濟-廢物管理説明2019 2020 2021評論產生的總廢物(單位:1,000公斤)1總非危險廢物4,565 4,654 5,284總危險廢物362 372 395總建築廢物608 231 199總廢物5,535 5,257 5,878總廢物非現場處理,無現場處理。按處置方式劃分的廢物總數(以1,000公斤計)1從處置中轉移的廢物4,532 4,466 4,544轉作處置的廢物1,003 791 1,334總數5,535 5,257 5,878從處置中轉移的廢物:循環再用1我們實行廢物循環再用。其他類別,如準備重複使用和堆肥,不適用於我們。非危險廢物總數3,618 3,911 4,028危險廢物總數336 349 346建築廢物總數578 206 170處置廢物總數4,532 4,466 4,544處置:焚燒(含能量回收)1非危險廢物總數567 411 938因供應商改變廢物處理方式而增加。我們與供應商簽訂了回收相關廢物的協議。危險廢物總數9 9 16建築廢物總數20 20 17總數596 440 971處置的廢物總數:焚燒(不回收能源)1非危險廢物總數37 3 51危險廢物總數15 13 27建築廢物總數0 0總數52 16 78處置的廢物總數:堆填區1非危險廢物總數343 329 267危險廢物總數2 1 6建築廢物總數10 5 12總數355 335 285處置的廢物總數(佔經營廢物總數的百分比)1焚燒(回收能量)12%8%17%焚燒(不回收能量)1%-1%7%7%5%總計20%15%23%使用過的光刻系統售出26台22 23台壽命延長的成熟系統。1.廢物處理方法由廢物處理承包商提供的資料決定。截至2021年,我們按照GRI的要求將全部垃圾分為直接處置的垃圾和從處置中轉移的垃圾。2019年和2020年的比較數據進行了調整,以披露這一比例。


ASML年度報告2021 273 ASML亞洲歐洲美國2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2021 2021就業人數(FTE)23,219 25,082 28,747 5,664 6,027 7,404 12,393 13,627 15,444 5,162 5,428 5,899女性(單位:%)16 17 18 16 17 17 16 17 18 17 17 17男性(單位:%)84 83 1,399 2,095 68 30 1,339 87 1,786 274 283女性(單位:%)16 18 34 28 19 19 19 20 11 11,444 5,162 5,428 5,8997 8男性83 84 82 66 72 81 81 80 89 93 92總計24,900 26,481 30,842 5,732 6,057 7,430 13,732 14,714 17,230 5,436 5,710 6,182(按年齡組別劃分)50 4,130 4,556 5,158 201 238 305 2,430 2,718 3,182 1,499 1,600 1,671未知1 270 279 282 1 1--269 278 281總計24,900 26,481 30,842 5,732 6,057 7,430 13,732 14,714 17,230 5,436,710 6,182 1臨時工的年齡登記不是強制性的。全職50 276 389 434 2 1 270 378 423 4 9 10總計1,581 1,765 1,900 33 3 3 1,570 1,749 1,884 8 13兼職全職僱員人數(按性別劃分)女性37 37 17--37 37 62 46 27男性63 63 63 83 100 100 63 63 38 54 73


2021 274 ASML年度報告2021 274新聘員工總數2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021新聘員工數量2,219 1,932 4,373 558 598 1,848 1,102 879 1,737 559 455 788新聘員工人數佔總就業人數的百分比10 8 15 10 10 25 9 6 11 11 8 13性別女性542 454 896 123 313 280 216 432 139 115 151男性1,677 1,478 3,477 435 475總計2,219 1,932 4,305 440 637 637 1,358 598 1,848 1,879 1,759 455 788年齡組別10 8 15 10 25 9 6 11 11 8 13性別女性542 454 896 123 313 280 216 432 139 115 151男性1,677 1,478 3,477 435 435 475 1,219 1,932 4,305 440 637 637 1,358 598 1,848 1,879 1,759 455 788年齡組別10 15 10 10 25 9 6 11 11 8 13性別女性542 454 896 123 313 280 216 432 139 115 151男性1,677 1,478 3,477 435 435 475 1,219 1,932 4,305 440 640 637 637 1,358 598 1,848 1,879 1,759 555 788年齡組190 7 7 6 79 59 106 74 65 78未知2 2總計2,219 1,932 4,373 558 598 1,848 1,102 879 1,737 559 455 788員工流失總數(全時當量)ASML亞洲歐洲2019 2020 2021 2019 2020 2021 2019 2020 2021 2019 2020 2021非自願流失僱員人數177 186 199 40 38 41 80 102 101 57 46 57 57 57自願流失僱員人數761 723 1,234 198 201 421 257 239 341 306 283 472總計938 909 1,433 238 239 462 337 341 363 329 529性別196 189 258 56 78 72 69 91 64 720 720183 384 265 272 353 294 265 438總計938 909 1,433 238 239 462 337 341 442 363 329 529年齡組50 200 212 290 16 17 27 78 95 116 106 100 147總計938 909 1,433 238 239 462 337 341 442 363 329 529 2019 2020 2021按性別劃分的員工敬業度評分We@ASML評論女性75%80%78%男性77%80%78%


ASML年度報告2021 275我們的人員-員工敬業度描述2019 2020 2021評論員工流失率(以%為單位)4.3 3.8 5.4高績效員工的流失率(以%為單位)2.4 1.7 2.6高績效員工是指在年度員工績效評估中被評為“特殊”或“超出預期”的員工。推廣率-整體表現優秀員工的推廣率(單位:%)38 37 40缺勤(單位:%)亞洲1 0.4 0.5 0.7在一些亞洲國家,病假被視為年假,因此與疾病有關的缺勤被記錄為0%。歐洲2.6 2.3 2.4美國1.6 1.3 1.4我們的員工參與度説明2019 2020 2021評論由內部候選人填補的空缺職位(以%為單位)36 30 29輪換比率(以%為單位)18 20 13人力資本投資回報率(ROI)2.1 2.4 3.0人力資本投資回報率的計算方法為總淨銷售額減去不包括員工工資和福利總額的總運營費用除以員工工資和福利總額。人員績效管理流程完成率(%)97 97 95發展行動計劃完成率(%)76 77 74獎學金數目獎學金數目荷蘭53 49 50獎學金數目美國--7獎學金數目臺灣-16 24獎學金數目中國-5 5獎學金數目韓國-3 5


ASML年度報告2021 276我們的人員-員工敬業度説明2019 2020 2021評論總培訓費用(以百萬歐元為單位)19 12 27 MyLearning(學習管理系統)中記錄的技術和非產品相關課堂培訓的自付費用。每個全職教育用於培訓和發展的平均支出(歐元)836 494 1020每個全職教育的培訓總時數包括技術和非產品相關的培訓時數(包括提名課程)。女性41 26 25男性46 29 30每FTE技術培訓時數加權平均45 28 29每FTE技術培訓時數計算方法為總技術培訓時數除以在運營和研發技術部門工作的FTE總工資。女性35 22男性41 27 29加權平均40 26 28每FTE非產品相關培訓時數不包括提名課程(領導力發展計劃)女性13 7 8男性8 4 5加權平均9 5提名課程:領導力發展計劃培訓時數33,715 22 22,896 6,264由於新冠肺炎只有兩個ECAP2021年開始的計劃參加人數(唯一)387 216 48


ASML年度報告2021年277我們的人員多樣性和包容性描述性別羣體性別比例男性/女性在管理職位和監事會中的性別比例1女性男性總女性50總監事會3 5 8 38%62%8 8管理委員會5 5-%100%1 4 5高級管理67 555 622 11%89%283 339 622中層管理363 2,505 2,868 13%87%1,704 1,163 2,868初級管理218 1,170 1,388 16%84%36 1,136 216 1,388其他4,607 19,732 24,339 19%81%5,708 15,311 3,320 24,339 5,258 23,972 29,230%1882%5,745 18,435 5,050 29,230性別比例按行業劃分的男性/女性(全時當量)女性男性男性總男性客户支持795 6,596 7,391 11%89%製造和供應鏈管理1,507,973 7,480 20%80%研發1,733 10,098 11,831 15%85%一般和行政1,099 1,632 2,731 40%60%銷售和成熟產品服務116 586 702 17%83%戰略供應管理192 515 707 27%73%總計5,442 25,400 30,842 18%82%1。臨時員工不包括在員工人數中。我們的人員多樣性和包容性描述2019 2020 2021評論按性別劃分的勞動力男性/女性(以%為單位)女性16 17 18男性84 83 82總計100 100 100為ASML亞洲工作的國籍數36 35 33歐洲103 108美國82 86 90全球總計118 120 122為ASML工作的外籍人士(以%為單位)是指員工在亞洲6 5歐洲31 32 33美國29 27 28全球總計25 25 26工作的工資總額和具有其他國籍的臨時僱員的百分比


ASML年度報告2021 278我們的員工-勞資關係説明2019 2020 2021評論受集體談判協議覆蓋的員工百分比2019 2020 2020我們的人民公平薪酬説明2019 2020 2021評論女性與男性的基本工資比率1 2高級管理層3 103%99%99%的計算方法與2019年相比發生了變化見腳註3.中層管理3 99%98%99%非管理層3 98%98%98%基本工資的女性與男性的比率是自2021年以來按地區1拆分的,包括2020年的比較數字。歐洲-%99%99%亞洲-%96%96%美國-%99%100%女性與男性現金總額的比率1,4現金總額是基本工資加上短期激勵。與2019年相比,高級管理人員5 102%99%99%的計算方法已更改見腳註5。中層管理人員5 98%98%99%現金總額為基本工資加短期激勵。非管理層5 98%97%98%女性與男性現金總額的比率,按地區1劃分為地區自2021年以來,包括2020年的比較數字。歐洲-%97%98%亞洲-%96%96%美國-%99%100%內部薪酬比率(首席執行官與員工薪酬)6 38 38 40有關更多信息,請參閲監事會-薪酬報告1.本報告年度用於計算的基本工資和現金總額由上一個報告年度實際基本工資和支付的現金總額組成。2.2020年,男女基本工資比率的定義發生了變化,計算方法為:平均加權工資女性/平均加權工資男性*100%。2019年,男女基本工資的比例計算為:每級平均工資女性/每級男性平均工資*100%。3.重新計算的2019年高級管理人員男女基本工資比例為99%。重新計算不影響2019年中層管理和非管理人員的PI。4.2020年,男女現金總額比率的定義發生了變化,計算方法為:包括獎金的女性平均加權工資/包括獎金的男性平均加權工資*100%。2019年,男女基本工資的比率為:每級平均工資包括獎金,女性/每級平均工資,包括獎金,男性*100%。5.2019年高級管理層PI女性與男性現金總額重新計算比例為96%。重新計算不影響2019年中層管理和非管理人員的PI。6.內部薪酬比率的計算方法在CEO與平均薪酬(薪酬比率)的關係一節中披露。我們根據監督委員會《荷蘭公司治理準則》關於2021年生效的《荷蘭公司治理準則》3.4.1.iv節的2020年12月指南修訂了我們的內部薪酬比率的計算方法。因此,內部薪酬比率的相對歷史數字已被重述,以將社會保障費用包括在內部薪酬比率數字中。在計算中,我們只考慮了薪資僱員,因為這確保了與合併財務報表中披露的數字一致。如果我們將臨時工納入其中,這一比例將會較低,因為他們的平均薪酬較高。


ASML年度報告2021 279我們的人員-員工安全説明2019 2020 2021評論ASML可記錄的事故數量0.28 0.18 0.17可記錄的事故數量66 46 48死亡人數-按地區劃分的可記錄的事故數量:亞洲12 12 7歐洲26 19 29美國28 15 12受影響的身體各部位急救事故數量:頭部45 37 45眼睛4 7 8肩4 10胸部2 3 2背部17 10 13手臂19 12手80 70 74腿29 18英尺12 19 19其他29 1 12總計182 213-各區域的援助事件:亞洲44 47 34歐洲143 80 112美國54 55 67總計241 182 213按區域劃分的預期險些事件數:險些未命中的事件是沒有導致受傷的計劃外事件,疾病或損害,但有可能造成這種情況亞洲1,031 3,201 1,868歐洲1,498 1,221 1,354美國718 631 991總計3,247 5,053 4,213


ASML年度報告2021 280社區參與説明2019 2020 2021評論學生人數達到8,998 13,378 9,168志願者的時間投資(小時)-技術推廣和校園推廣5,445 2,936 1,886志願者的時間投資(小時)-社區參與7,664 1,333 2,393志願服務的總成本(x歐元1,000)772 271 283#ASML基金會項目支持17 22 22我們負責供應鏈的供應鏈描述2019 2020 2021評論澳大利亞央行對LTSA供應商的行為準則合規合同條款(以%為單位)59%67%76%對供應商的可持續性進行評估(#年分:12-2020年和2021年)由於新冠肺炎的原因,審計已被擱置。澳洲央行自我評估問卷(SAQ)29 59 56澳洲央行自我評估已完成(%)78%88%89%此指標衡量改進計劃是否在與供應商商定的到期日之前關閉。改進計劃是在上一報告期或當前報告期(S)根據澳大利亞央行SAQ或審計啟動的。被確定為所有可持續性要素總體風險水平“高”的供應商(#年)-風險水平是通過澳大利亞央行SAQ和ASML評估確定的,適用於主要的產品相關供應商


ASML年度報告2021 281我們的供應鏈-供應鏈説明2019 2020 2021評論供應商總數5,003 4,749 4,657供應商數量,按地區劃分:亞洲1,356 1,313 1,319 EMEA(不包括(荷蘭)700 684 702荷蘭1,620 1,477 1,459北美1,327 1,275 1,177總數5,003 4,749 4,657供應商總數:與產品相關的790 779 772非產品相關的4,213 3,970 3,885總數5,003 4,749 4,657僅一級供應商供應商數量,拆分如下:關鍵供應商數量221 222 229關鍵供應商是戰略重要性非關鍵的一級供應商4,782 4,527 4,428關鍵供應商總數5,003 4,749 4,657按:與產品相關198 188 197非產品相關23 34 32總計221 222 229風險管理範圍內的供應商數量212 235 243這包括14個關鍵的N級供應商採購總支出(以百萬歐元為單位)6,683 7,645 9,045每個供應商組的採購支出(以百分比為單位)與產品相關的採購支出66%68%70%非產品相關的採購支出佔當地供應商支出的比例(以百分比為單位)我們將‘本地’定義為重要運營地點所在的國家/地區。業務的重要地點是ASML的主要製造基地,它們位於荷蘭的維爾德霍温、臺灣的林口、聖地亞哥和威爾頓,這兩個地方都在美國。Veldhoven 46%47%45%相對較大的供應商支出涉及Carl Zeiss(非本地)林口46%48%50%聖地亞哥89%94%92%Wilton 66%71%64%


ASML年度報告2021年282負責任的商業-商業倫理描述2019 2020 2021評論發言信息總數255 229 396 2020年10月推出了新的行為準則和更新的發言政策。反腐敗和賄賂直言不諱信息16 19 37所有直言不諱的信息都沒有任何跡象表明違反了反腐敗法。人權發聲信息58 69 187%完成行為準則在線培訓86%88%71%負責任的企業-產品安全説明2019 2020 2021評論百分比已發貨的產品類型具有SEMI S2安全指南合規性報告100%100%100%因違反產品設計相關法律法規而被(嚴重)罰款的數量-負責任的企業-水管理描述2019 2020 2021評論水消耗量(單位:1,000立方米)自2021年以來,Veldhoven 628 658 728聖地亞哥90 80 105 Wilton 90 94 95 Linou 30 28 26 San Jose-21在此指標的範圍內。臺南--自2021年以來,這一指標的範圍為30個。其他--自2021年以來這一指標的範圍為36個。其他包括超過250 FTE總和的地點。總供水量838 860 1,041超純水總消耗量(以1,000立方米為單位)115 127 84只有威德霍温、林口和臺南HMI在此指標範圍內。其他地點被排除在範圍之外,因為還沒有關於該指標的報告數據。循環再用水總量(單位%)2.4%1.8%1.2%只有維爾德霍温、林口和臺南HMI在此指標範圍內。其他地點被排除在範圍之外,因為還沒有關於該指標的報告數據。水強度71 62 56水強度的計算方法是總用水量(立方米)除以總收入(百萬)。


ASML年度報告2021年283實質性評估對話和知識共享在一個創新驅動的行業中非常重要。為此,我們通過各種渠道和組織內的不同級別,持續和公開地與我們的主要利益相關者羣體進行溝通。我們的利益相關者是受我們活動影響的各方,或者與我們公司的長期業務成功有直接關係或能夠影響我們公司長期業務成功的人。我們的重要性過程我們根據GRI標準制定我們的重要性評估框架,其中包括利益相關者參與和識別、分析和優先排序的原則。我們通過三步法進行重要性評估。步驟1:確定相關方面的輸入我們每年更新相關主題的入圍列表。這些分析基於對利益攸關方反饋、持續利益攸關方參與、風險和機會的分析,以及對相關行業和全球趨勢的審查。主題包括那些對我們的利益相關者決策很重要的主題,對於ASML來説,那些可能在組織、價值鏈或社會中產生環境、社會或經濟影響的主題。國際標準和立法,例如:GRI,ISO 26000,TCFD,歐盟非財務報告指令,行業和媒體分析,例如:澳大利亞央行,行業發展報告,在DJSI ESG分析師的問卷/評估中對我們同行的可持續發展表現進行基準評估,例如:DJSI,可持續發展,ISS ESG評級,CDP,MSCI ESG指數,FTSE4良好的利益相關者參與:定期和不定期的利益相關者溝通,ESG會議和網絡的反饋。更多內容請閲讀:利益相關者參與。第2步:分析和確定產出的優先順序我們遵循GRI標準指南,根據利益相關者關注的程度以及我們的業務和運營對環境、社會和經濟造成的影響的重要性,對主題的重要性進行評級。我們將長長的主題列表縮小到與我們相關的候選列表。使用現有數據、利益攸關方持續參與的反饋、與高級管理層和管理委員會成員、企業主和其他相關內部利益攸關方(如專題專家)的討論來衡量這些專題的影響。管理委員會對評估結果進行驗證和批准。我們確定了環境、社會和治理主題,這些主題對我們的業務影響最大,也是我們價值鏈中的利益相關者最關心的。更多請閲讀:我們如何創造價值。第三步:確認和實施戰略和報告結構重要性評估的結果被用來制定我們的戰略,設定長期目標,旨在為我們所有的利益相關者羣體創造長期價值。結果還確定了本年度報告的內容,符合GRI定義報告內容的原則。在2018年對可持續發展戰略2019-2025年進行的最新評估中,我們確定了17個可持續發展的重要主題,我們將其歸類為5個重要的可持續發展主題,以及2個ASML公司特定主題(創新管理和客户親密度)。這些是與我們的利益攸關方決策最相關的主題,也是反洗錢具有或可能產生最大影響的領域。對於每一個重要主題,我們都確定了我們的雄心,並制定了長期目標(2025年)。我們監控進度,衡量績效,並定期報告,至少每年在年度報告中報告一次。作為一家致力於以負責任和關懷的方式開展業務的公司,我們還確定了需要解決的其他因素。這些問題包括我們的利益相關者期望我們採取行動的問題或我們有影響的問題。我們已將其歸類為“負責任的商業”主題。更多內容請閲讀:下面的重要性矩陣。我們目前的可持續發展戰略於2018年啟動,2019年至2025年期間,重點關注五個戰略可持續發展領域。我們公司的發展以及對可持續發展的環境、社會和治理(ESG)方面的透明報告的需求日益增長,這促使我們重新評估2021年的可持續發展戰略。為此,我們根據主要的可持續性主題及其對我們業務運營的相對重要性,更新了對2022-2025年剩餘時期的重要性評估。我們將從2022年起在我們的報告中實施更新的重要性主題。更多內容請閲讀:我們的戰略。


阿盟2021年年度報告284我們也支持聯合國通過的聯合國可持續發展目標(SDGs)中確定的2030年雄心。這些目標旨在保護地球,改善世界各地人民的生活。我們已經制定了我們的戰略和目前的努力如何積極支持這些目標。重要性表概述了我們為之作出貢獻的五個最相關的可持續發展目標。SDG 9“產業、創新和基礎設施”的目標與我們公司的核心相連,因為創新是我們的命脈和推動我們業務的引擎。我們還為可持續發展目標4“優質教育”、可持續發展目標8“體面工作和經濟增長”、可持續發展目標12“負責任的生產和消費”和可持續發展目標13“氣候行動”做出貢獻。在本報告中,我們強調了我們相對於這些可持續發展目標的表現。利益相關者關注的重要性矩陣級別高(E)能源管理(運營)(E)碳足跡(E)氣候變化(B)創新管理(B)客户親密度(E)能源管理(產品)(S)人才吸引和留住(S)人力資本開發(S)員工參與度(S)人權(S)社區參與度(S)職業健康與安全(S)多樣性和包容性(S)創新生態系統-初創企業和企業支持(E)廢物管理(E)產品管家(E)循環經濟-再利用(E)循環經濟(S)ESG風險供應鏈(S)負責任供應鏈(S)創新夥伴關係低(G)水管理(G)税收戰略(G)融資政策(B)卓越運營(G)商業道德與合規(G)信息安全(G)知識產權保護(G)產品安全(G)企業風險管理低高度對反洗錢的影響


ASML 2021年年度報告285個材料主題,主題及其對價值鏈影響領域材料主題主題GRI主題上游供應商和合作夥伴我們的運營下游客户和社會SDG業務相關創新管理·核心戰略·技術和創新·研發·產品路線圖N/A塔塔SDG 9客户親密度·客户反饋調查·運營卓越·客户參與度N/A塔塔環境氣候和能源·能效產品·能源消耗EUV·範圍1排放·範圍2排放·範圍3碳足跡·可再生能源·氣候變化a.302:能源塔塔塔塔SDG 13循環經濟·廢物管理-減少·循環經濟-再利用·循環經濟-回收a.306:廢水和廢塔塔SDG 12塔式SDG 12社會我們的人民·文化和價值觀·員工體驗·員工敬業度·僱主勞動力市場品牌·人力資本發展·吸引力和留存·多樣性和包容性·勞動實踐a.401:就業b.404:培訓和教育c.405:治理機構和員工的多樣性塔塔SDG 4塔式SDG 8創新生態系統·創新夥伴關係·創新管道·支持啟動和升級N/A塔塔SDG 9負責任的供應鏈·負責任的供應鏈-供應商可持續發展標準(RBA)和績效·供應鏈中的ESG風險a.204:採購實踐b.308:供應商環境評估c.414:供應商社會評估塔塔SDG 8除上述材料主題和主題外,我們還有其他利益相關者感興趣的話題,我們認為這些是良好的公司治理和實踐,但對我們的利益相關者來説不那麼重要,對ASML的影響也不大。我們將這些定義為負責任的商業主題:商業道德、法律合規、反賄賂和腐敗、競爭法、隱私保護、人權、信息安全、知識產權保護、產品安全、水管理、運營卓越、融資政策和税收政策。我們以更簡明的方式報道這些主題。經濟表現和公司治理是根據GRI標準的一般披露分類的主題。雖然它們沒有在重要性矩陣中映射,但相關信息在我們公司的年報中披露。B.305:排放


ASML年度報告2021年286管理可持續發展和工程再利用部門EHS部門企業房地產採購和供應鏈人力資源ESG可持續發展委員會管理委員會ESG可持續發展我們通過一個強大的框架管理ESG可持續發展,由幾個層面管理以推動問責和執行,包括管理委員會、ESG可持續發展委員會、ESG可持續發展辦公室、專題特定行動所有者和專家。我們的管理委員會批准並簽署了我們的ESG可持續發展戰略。他們負責制定政策和監督ASML的ESG可持續戰略,以及其遵守法律和報告要求的情況。這包括處理與該戰略有關的主要風險和機會。管理委員會定期開會,就相關問題提供指導,包括與氣候有關的風險和機會。ESG可持續發展委員會(SC)由管理委員會成員和高級管理人員組成,由我們的首席執行官和首席運營官領導。ESG SC的目標是在公司範圍內優化協調和調整。ESG SC負責制定全公司範圍的ESG可持續發展政策,並全面負責監控和審查ESG可持續發展關鍵績效指標,以跟蹤進度。這還包括應對氣候變化問題的倡議和行動。ESG SC同樣注重創造積極的社會和環境影響。我們的ESG可持續發展辦公室負責監督和實施我們的ESG可持續發展戰略,併為ESG SC提供便利,例如促進可持續發展管理政策和目標的實現。此外,可持續發展辦公室的任務是確定可能影響各種短期、中期和長期可持續發展目標的關鍵問題、風險和機遇(包括與氣候變化有關的問題)、全球趨勢和(同行)最佳做法。每個材料和負責的業務主題都分配給一名高級管理人員,並由一名主題專家提供支持。每位高級管理人員負責ESG可持續發展戰略的關鍵績效指標,並負責根據商定的目標監測進展情況,並確保有足夠的可用資源來實現各項目標和目標。如果進展不足,將在業務業績審查會議上討論這一問題,並在ESG SC會議上提出。此外,我們通過企業風險管理(ERM)程序識別和評估與氣候有關的風險和機遇的影響。我們從自上而下(公司級)和自下而上(組織和流程級)兩個角度評估風險。我們的風險管理和控制系統基於識別可能影響我們的運營、業務連續性和財務目標的外部和內部風險因素。它包含一個多學科評估、監測、報告和業務審查系統。主要的價值鏈階段包括但不限於我們的直接運營、上游(我們的供應鏈)和下游(我們的客户)價值鏈。我們在可持續發展領域的業績,如重要性表中所述,是我們管理委員會和高級管理層長期激勵計劃的一部分。我們通過以年度道瓊斯可持續發展指數(DJSI)的結果為基準來衡量我們的整體可持續發展表現,道瓊斯可持續發展指數評估了20多個ESG主題,並與半導體行業的最佳產品進行了比較。更多內容請閲讀:薪酬報告。


ASML年度報告2021年287利益相關者參與我們將利益相關者定義為能夠影響我們的業務或可能受到我們業務影響的個人或團體或組織。我們考慮五個利益相關者羣體:股東、客户、供應商(包括承包商)、員工和社會(例如,當地社區、政府和當局、行業工會、勞工組織、其他協會、媒體和非政府組織)。持續的利益相關者參與,即我們擁抱開放對話和知識共享,在一個創新驅動的行業中非常重要,有助於我們確定需要改進的領域。我們通過各種渠道和不同層面與利益相關者進行溝通。參與的方法將根據利益攸關方、關注的問題和參與的目的而有所不同。下表概述了我們的主要利益相關者羣體、我們與他們溝通的方式以及與他們最相關的主題概述。股東目標:這一羣體由現有股東、潛在的主動和被動投資者、金融和ESG分析師組成。我們的目標是幫助他們瞭解我們的(長期)投資機會。我們與他們就我們的財務增長戰略和機會、財務業績和前景、股東回報以及我們的可持續發展戰略進行溝通。主要溝通渠道和頻率我們重要的主要參與主題·與投資者關係部門的直接互動(例如,電話、ESG業績調查、電子郵件交換、現場訪問-在ASML和/或投資者)-


每天


·年度股東大會-


每年一次


·投資者日--


每兩年一次


·公司季度業績展示和新聞稿-


每季度


·各種投資者會議和路演--


在發生時


·各種可持續性問卷、評估和調查反饋--


一旦發生,其中大部分是每年重複發生的。


·財務業績·資本回報·市場前景·產品和終端市場·客户採用率·地緣政治·商業概要·公司路線圖和產品組合·ESG目標:人力資本發展、碳足跡、廢物、回收、能源消耗、供應鏈中的社會責任·董事會多樣性和薪酬·財務業績·技術和創新生態系統·客户親密度·我們的員工·我們的供應鏈·循環經濟·氣候和能源·我們如何管理風險·負責任的業務·治理客户目的:我們是一家領先的芯片製造設備製造商。我們使我們的客户能夠創建定義芯片上電子電路的圖案。我們的客户是世界領先的微芯片製造商,我們的成功與他們的聯繫密不可分。主要溝通渠道和頻率我們的重要性·客户反饋調查中的主要參與主題-


每兩年一次


·通過客户團隊和區域質量經理進行直接互動·客户會議的聲音-


每月一次


·技術評審會議(我們的首席技術官、產品經理、其他高管和我們的主要客户之間)-


每兩年一次


·執行評審會議(ASML高管和主要客户之間)-


每兩年一次


·不同的技術研討會和特別活動-[在發生時]·產品和技術·客户路線圖·創新·客户支持、擁有成本和質量·ESG目標:碳足跡、能源消耗、供應鏈中的社會責任·技術和創新生態系統·客户親密度·卓越運營·負責任的供應鏈·循環經濟·氣候和能源[ASML年度報告2021年288供應商目標:我們在很大程度上依賴我們的供應商網絡來實現我們努力的創新。我們的目標是確保我們獲得滿足短期和長期需求所需的產品、材料和服務。為此,我們投資發展我們的供應格局,以幫助供應商滿足我們在質量、物流、技術、成本和可持續性方面的要求。我們致力於建立一個負責任和可持續發展的供應鏈。主要溝通渠道和頻率主要參與主題在我們的重要性·ASML的供應商日-]每年一次[·通過供應商客户團隊/採購客户經理直接互動-]每天[·供應商審核-]在發生時[·現場參觀-]在發生時[·通訊-]每月一次[·澳大利亞央行自我評估問卷-]每年一次[·ASML暢所欲言--]在發生時[·產品和技術·QLTCS·供應商績效和風險管理·IP/信息安全·業務連續性·澳洲央行合規(道德、勞動規範、健康安全和環境)·稀缺(自然)資源、3TG、危險物質等·循環性(重複使用、回收、翻新)·範圍3碳足跡·技術和創新生態系統·我們的供應鏈·負責任的供應鏈·負責任的業務(包括人權)·循環經濟·氣候和能源員工目的:我們希望提供一個統一的方向,並將ASML的身份深入組織。為此,我們的目標是幫助人們接受我們的價值觀,熟悉我們的戰略和宗旨,並維護我們的行為準則原則。員工敬業度對我們公司的成功非常重要,僱主品牌使我們能夠吸引人才。我們致力於良好的勞動實踐和尊重人權。主要溝通渠道和頻率我們的重要性·員工敬業度調查中的主要敬業度主題-]每年一次[·培訓和發展計劃,包括員工評估/反饋-]在發生時[·ASML暢所欲言--]在發生時


·勞資委員會--[每季度]·員工網絡,如青年ASML、女性@ASML、老年人@ASML、粉色ASML-[在發生時]·內部溝通和意識(例如,內聯網、道德計劃、部門員工會議、與董事會成員共進午餐)-[每天]·新員工入職計劃--[在發生時]·全體員工會議和高級管理層會議-[每年一次]·培訓和發展·行為準則/道德·戰略·多樣性和包容性·勞動條件·活力·人權·可持續發展目標和業績·技術和創新生態系統·我們的員工(員工發展、勞資關係、公平薪酬)·負責任的供應鏈·循環經濟·氣候和能源·負責任的企業[ASML年度報告2021年289社會目的:我們致力於以負責任和關懷的方式開展業務,為我們的員工和我們經營的更廣泛的社區服務。作為一家全球技術領導者和僱主,我們在我們運營的當地社區發揮着積極的作用。我們定期與政府和(地方)當局、行業工會和協會、(當地)社區、大學、媒體和非政府組織接觸。主要交流渠道和頻率重要行業工會和協會的主要參與主題·員工發展·慈善、贊助和捐贈·創新合作·加強行業、社會和我們經營場所的創新·社會和環境責任·促進STEM教育·當地發展·技術和創新生態系統·客户親密度·社區參與·負責任的商業(人權、道德、隱私、ABC政策等)·我們的員工(員工發展、勞資關係、公平薪酬)·氣候和能源·循環經濟·我們如何管理風險·成員會議和技術論壇(例如澳大利亞央行、SEMI、FME、VNO-NCW、SPIE等)-]每月/發生時[·成員就標準進行協商--]在發生時[·智囊團-]在發生時[政府和當局·與税務當局對話-]每月/發生時[·相關的歐盟圓桌討論(半導體行業或創新)-]在發生時[·合規性報告-]每月/發生時[·與政府、當局和市政當局積極對話--]在發生時[社區、大學、媒體、非政府組織、其他·www.asml.com-]每天[·社區參與方案(在中學和大學、文化機構、當地社區等推廣STEM)--]在發生時[·年輕的高科技社區(HighTechXL、Make Next Platform、Startup Alliance)-]每天/在發生時


·公司訪問-[在發生時]·新聞稿、採訪、接洽電話/會議等-[在發生時]ASML年報2021年290其他附錄[2022年4月29日公佈2022年第一季度業績2022年4月29日年度股東大會2022年7月20日公佈2022年第二季度業績2022年10月19日公佈2022年第三季度業績ASML的財政年度截至2022年12月31日投資者關係ASML投資者關係向投資者和金融分析師提供有關公司及其商機的信息。我們的年度報告、季度新聞稿和其他信息也可以在我們的網站上獲得。]ASML年度報告2021年292附錄-ASML聯繫信息公司總部6501 5504博士荷蘭Veldhoven博士郵寄地址:P.O.Box 324 5500 AH Veldhoven荷蘭投資者關係部電話:+31 40 268 3938電子郵件:Investor.Relationship@asml.com欲瞭解更多聯繫信息,請訪問www.asml.com。[ASML年度報告2021年293次修訂]ASML年度報告2021年294名稱描述0-9 3TG錫、鉭、鎢和黃金ADAS高級駕駛輔助系統AFM荷蘭金融市場管理局(Autoriteit financiële Markten)AGM年度股東大會AI人工智能AIoT人工智能物聯網年度報告20-F ARCNL納米光刻高級研究中心ARCNL年度報告Arf Argon氟化物Arfi氟化物浸入式ASC會計準則編纂ASML Holding N.V.和/或其任何子公司和/或合作伙伴的任何投資ASML基金會是一家與ASML有密切聯繫的獨立慈善機構,支持ASML運營地區針對4-18歲弱勢兒童的教育活動。ASML優先股基金會Stichting Pferente Aandelen ASML B BAPA雙邊預定價協議BREEAM管理委員會BREEAM建築研究機構環境評估方法Brion Brion Technologies,Inc.C CAGR佳能佳能Kabushiki Kaisha佳能交叉許可協議ASML和佳能之間的全球專利交叉許可協議涉及半導體光刻CAPEX在財產、廠房和設備方面的增加加上無形資產的增加以及使用權資產(經營和財務)的增加。資本資源國際資本研究中心定義的資本資源包括:金融、製造業、智力、人力、社會和自然資源。Carl Zeiss SMT Carl Zeiss SMT GmbH CCIP客户共同投資計劃CCPA加州消費者隱私法案(美國)CDP碳披露項目首席執行官CFO首席財務官CGU現金生成單位CGU ASML不包括CGU聚合物光源潔淨室硅片製造廠的中心部分,用於加工晶片,環境經過精細控制,以消除灰塵和其他污染物。CMO首席營銷官二氧化碳守則荷蘭公司治理行為守則行為守則道德和行為守則ASML Holding N.V.計算光刻利用強大的算法和計算機對製造過程進行建模,通過故意使掩模圖案變形以補償光刻和構圖過程中發生的物理和化學效應來優化掩模圖案。首席運營官新冠肺炎冠狀病毒病2019年CRC ASML的企業風險委員會CRMC資本研究與管理公司首席技術官Cmer Cmer Inc.,Cmer LLC及其子公司研發與工程開發德勤德勤會計師事務所[ASML年度報告2021 295名稱描述D&I多樣性和包容性DJSI道瓊斯可持續發展指數DRAM動態隨機存取存儲器DUV深紫外線E EHS環境,健康和安全EHS能力中心ASML內部的一個小組,定義EHS標準,收集最佳實踐,並幫助管理人員實施這些標準EMEA歐洲、中東和非洲每股收益ERM企業風險管理ESCAN ASML的電子束晶片檢測系統系列用於有針對性的在線缺陷檢測ESG為環境、信用評級決定中使用的社會和治理(ESG)因素ETR有效税率歐盟歐盟-IFRS國際財務報告標準歐盟採用的EURIBOR歐元銀行間同業拆放利率歐洲債券以歐元計價的債券荷蘭中央證券託管機構(Nederland Centraal Instituut Voor Giraal Effectenverkeer B.V.)阿姆斯特丹泛歐交易所阿姆斯特丹泛歐交易所N.V.極紫外光光刻一種使用波長13.5納米的極紫外光的光刻技術。這是目前光刻的前沿,使能16納米及以上的技術節點。它僅用於具有最小要素的最關鍵的層。交易所法案1934年美國證券交易法執行委員會F FAB半導體制造廠FAT工廠驗收測試FDII外國衍生無形收入以構成給定微芯片層圖案的元素為特徵。閃存一種用於存儲和傳輸信息的非易失性存儲器。Foundry是邏輯芯片的合同製造商FTES全職等價物FTSE4Good系列道德投資股票市場指數由富時集團G GAAP於2001年推出公認會計原則GDPR一般數據保護法規GSI全球電子可持續發展倡議温室氣體GILTI全球無形低收入GPU圖形處理單位GRI全球報告倡議GRI標準GRI可持續發展報告標準H H2氫硬盤驅動器High-NA高數值孔徑-特別是下一代EUV光刻平臺(EUV 0.55 NA)HMI ASML的一系列電子束(電子束)晶圓檢驗和計量系統的品牌名稱光刻通過將光刻系統與計算建模和晶片計量解決方案(實時分析和控制製造過程)相集成來優化整個微芯片製造過程並實現芯片技術中可負擔的規模的能力HTSC High Tech Systems Center I IAS國際會計標準IC集成電路IDM集成器件製造商IIRC國際集成報告委員會I-Line燈波長為365 nm,由汞蒸汽燈產生,用於某些光刻系統,國際勞工組織]ASML年度報告2021年296名稱描述成像使用光imec InterUniversity-Elektronica Centrum浸沒式光刻技術將圖案轉移到光致抗蝕劑上到晶片上的能力一種光刻技術,它使用透鏡和晶片之間的一池超純水來增加透鏡的數值孔徑(收集和聚焦光的能力)。這提高了光刻系統的分辨率和焦深。客户羣管理網絡服務和現場選項銷售英特爾公司物聯網(IoT)嵌入傳感器、執行器、電子設備和允許對象收集和交換數據的軟件的物理對象網絡知識產權ISO國際標準化組織K KLA-Tencent或KLA-Tencent公司KPI關鍵性能指標畢馬威會計師事務所N.V.KRF氟化氪千瓦時L LGBTQI+女同性戀者、男同性戀者、雙性戀者、變性人、同性戀者和兩性LIBOR倫敦銀行間提供的速率光刻,或稱光刻,是微芯片製造過程中使用光在硅片邏輯集成設備上繪製部件,如微處理器、微控制器和GPU的過程。也指生產此類設備的公司LTI長期激勵M MBA工商管理碩士存儲微芯片,如NAND閃存和DRAM,用於存儲信息。也指製造此類芯片的公司。毫米毫米(千分之一米)MPS成熟產品和服務MSCI摩根士丹利資本國際N NA數值孔徑NAND當收到一個輸入或沒有輸入時給出輸出的二進制邏輯運算符;非與納斯達克非政府組織尼康股票市場非政府組織尼康公司NL荷蘭納米(十億分之一米)節點芯片製造行業更小特徵和更先進微芯片路線圖的墊腳石,描述和區分幾代半導體制造技術及其製造的芯片。具有較小尺寸的節點指的是更先進的技術。非公認會計原則未按照最具可比性的公認會計原則計量或列報的公司歷史或未來財務業績、財務狀況或現金流。NRE非循環工程NXE用於EUV光刻的原始TWINSCAN系統平臺NXT原始TWINSCAN系統平臺的增強版本提供顯著改進的覆蓋和生產率O OCI其他全面收入ODM原始設計製造商OECD經濟合作與發展組織OEM原始設備製造商One ASML的我們的新企業計劃,旨在改進我們的業務流程和IT企業管理系統覆蓋芯片結構的層到層對齊P圖案保真度一個全面衡量所需圖案在晶片上覆制的情況[ASML年度報告2021年297名稱描述模式保真度控制一種全面的方法來控制大批量製造先進微芯片的整個過程,旨在提高整體產量。它從生產設備和計算光刻工具中提取數據,使用機器學習等技術進行分析,以提供實時反饋。在表面形成圖案的過程(以製造微芯片)PGP產品生成過程PME Bedrijfstakpensioenfonds Metalektro優先股基金會Stichting Pferente Aandelen ASML優先股選擇權收購我們資本Q QLTCS質量、物流、技術、成本和可持續性研發研究和開發澳大利亞負責商業聯盟RC ASML的薪酬委員會REACH登記、評估、化學品的授權和限制可回收金額較大的資產的公允價值減去銷售成本及其使用價值薪酬政策適用於ASML Holding N.V.董事會的薪酬政策一塊包含每次暴露轉移到晶片上的特徵圖案ROAIC平均投資資本RoHS有害物質限制S三星電子公司SAQ自我評估問卷薩班斯-奧克斯利法案2002年薩班斯-奧克斯利法案SAT現場驗收測試SB ASML監事會範圍1二氧化碳排放來自組織擁有或控制的資源的直接二氧化碳排放範圍2二氧化碳排放間接二氧化碳排放量因能源和組織消耗範圍3二氧化碳排放組織價值鏈中發生的所有其他間接二氧化碳排放可持續發展聯合國可持續發展目標美國證券交易委員會美國證券交易委員會半導體設備和材料國際半導體S2半S2-安全指南,半導體制造設備的環境、健康和安全指南,半導體制造設備的一套基於性能的EHS注意事項SemS23 SemS23半導體制造設備使用的節能、實用和材料指南,收集、分析和報告高耗能半導體制造設備實用數據的指南SG&A銷售,一般和行政縮減為更先進的芯片SoC系統開發更小晶體管的過程SPE股東三家銀行組成的財團,目的是租賃ASML位於維爾德霍温的總部S和SC採購和供應鏈SSD固態驅動器SSRA安全風險評估STEM科學,技術,工程和數學STI短期激勵SWOT優勢、劣勢、機會和威脅T TC ASML的技術委員會TCFD氣候相關財務披露特別工作組TCJA減税和就業法案TDC總直接薪酬技術能力技術專業知識在我們員工中的能力和傳播,以及它們在我們的工藝和運營中的嵌入程度吞吐量一個系統每小時可以處理的晶片數量TJ太焦耳(一萬億焦耳)]ASML年報2021年298名稱描述晶體管是微芯片的基礎構建塊半導體器件臺積電臺積電有限公司TWINSCAN ASML獨特的光刻系統平臺,具有兩個完整的晶片平臺,可以在繪製一個晶片的同時曝光另一個晶片-實現更高的精度和吞吐量。U UNGP聯合國指導原則美國US GAAP普遍接受的會計原則在美利堅合眾國美國ITC美國國際貿易委員會VLSI研究公司增值税VLSI研究公司VNO-NCW荷蘭工業和僱主聯合會副總裁總裁W WACC加權平均資本成本晶圓檢查過程定位和分析晶圓上個別芯片缺陷的過程計量學,測量硅片上圖案質量的過程波長,波峯之間的距離,如光。光刻系統中使用的光的波長越短,系統可以分辨的特徵就越小。網站www.asml.com荷蘭ASML工作委員會工作委員會YYYELDSTAR ASML基於衍射的晶片計量平臺Z蔡司卡爾蔡司股份公司[on occurrence] Community, universities, media, NGOs, other • www.asml.com - [daily] • Community engagement program (STEM promotion at secondary schools and universities, cultural institutions, local community, etc.) - [on occurrence] • Young high tech community (HighTechXL, Make Next platform, Startup Alliance) - [daily/on occurrence] • Company visit - [on occurrence] • Press release, interviews, engagement calls/meetings, etc. - [on occurrence]


ASML ANNUAL REPORT 2021 290 Other appendices


ASML ANNUAL REPORT 2021 291 Appendix - Financial calendar and investor relations Financial Calendar April 20, 2022 Announcement of First Quarter results for 2022 April 29, 2022 Annual General Meeting July 20, 2022 Announcement of Second Quarter results for 2022 October 19, 2022 Announcement of Third Quarter results for 2022 Fiscal Year ASML’s fiscal year ends on December 31, 2022 Investor Relations ASML Investor Relations supplies information regarding the company and its business opportunities to investors and financial analysts. Our annual reports, quarterly releases and other information are also available on our website.


ASML ANNUAL REPORT 2021 292 Appendix - ASML contact information Corporate Headquarters De Run 6501 5504 DR Veldhoven The Netherlands Mailing Address P.O. Box 324 5500 AH Veldhoven The Netherlands Investor Relations phone: +31 40 268 3938 email: investor.relations@asml.com For additional contact information please visit www.asml.com.


ASML ANNUAL REPORT 2021 293 Denitions


ASML ANNUAL REPORT 2021 294 Name Description 0-9 3TG Tin, tantalum, tungsten and gold A ADAS Advanced driver-assistance systems AFM The Dutch Authority for the Financial Markets (Autoriteit Financiële Markten) AGM Annual general meeting AI Artificial intelligence AIoT Artificial intelligence of things Annual Report Annual Report on Form 20-F ARCNL Advanced Research Center for Nanolithography ArF Argon fluoride ArFi Argon fluoride immersion ASC Accounting Standards Codification ASML ASML Holding N.V. and / or any of its subsidiaries and / or any investments in associates ASML Foundation An independent charity with strong ties to ASML that supports educational initiative for disadvantaged 4-18 year olds in regions where ASML operates. ASML Preference Shares Foundation Stichting Preferente Aandelen ASML B BAPA Bilateral advance pricing agreements BEAT Base erosion anti-abuse tax BoM Board of Management BREEAM Building Research Establishment Environmental Assessment Method Brion Brion Technologies, Inc. C CAGR Compound annual growth rate Canon Canon Kabushiki Kaisha Canon Cross-License Agreement A global patent cross-license agreement between ASML and Canon related to semiconductor lithography CAPEX Additions in property, plant and equipment plus additions in intangible assets plus additions in right-of-use assets (Operating and finance). Capital resources The capitals resources as defined by the IIRC are referred to as: financial, manufacturing, intellectual, human, social and natural. Carl Zeiss SMT Carl Zeiss SMT GmbH CCIP Customer Co-investment Program CCPA California Consumer Privacy Act (US) CDP The Carbon Disclosure Project CEO Chief Executive Officer CFO Chief Financial Officer CGU Cash-generating unit CGU ASML ASML excluding CGU Cymer Light Sources Cleanroom The central part of a wafer fab where wafers are processed, and the environment is minutely controlled to eliminate dust and other contaminants. CMO Chief Marketing Officer CO2 Carbon dioxide Code The Dutch Corporate Governance Code Code of Conduct Code of ethics and conduct Company ASML Holding N.V. Computational lithography The use of powerful algorithms and computer modeling of the manufacturing process to optimize reticle patterns by intentionally deforming them to compensate for physical and chemical effects that occur during lithography and patterning. COO Chief Operating Officer COVID-19 Coronavirus disease 2019 CRC ASML’s corporate risk committee CRMC Capital Research & Management Company CTO Chief Technology Officer Cymer Cymer Inc., Cymer LLC and its subsidiaries D D&E Development and engineering Deloitte Deloitte Accountants B.V.


ASML ANNUAL REPORT 2021 295 Name Description D&I Diversity and inclusion DJSI Dow Jones Sustainability Index DRAM Dynamic Random Access Memory DUV Deep ultraviolet E EHS Environment, health and safety EHS Competence Center A group within ASML that defines EHS standards, gathers best practices and helps managers implement them EMEA Europe, the Middle East and Africa EPS Earnings per share ERM Enterprise risk management eScan ASML’s e-beam wafer inspection system family for targeted in-line defect detection ESG score An integrated scoring system for environmental, social and governance (ESG) factors used in credit rating decisions ETR Effective tax rate EU European Union EU-IFRS International Financial Reporting Standards as adopted by the European Union EURIBOR Euro Interbank Offered Rate Eurobond A bond denominated in Euros Euroclear Nederland The Dutch Central Securities Depository (Nederlands Centraal Instituut voor Giraal Effectenverkeer B.V.) Euronext Amsterdam Euronext Amsterdam N.V. EUV lithography A lithography technology that uses extreme ultraviolet light with a wavelength of 13.5 nm. This is currently the cutting edge of lithography, enabling technology nodes of 16 nm and beyond. It is used for only the most critical layers with the smallest features. Exchange Act US Securities Exchange Act of 1934 ExCom Executive Committee F Fab Semiconductor fabrication plant FAT Factory acceptance test FDII Foreign-derived intangible income Feature The elements that make up the pattern for a given layer of a microchip. Flash A type of non-volatile memory used for storing and transferring information. Foundry A contract manufacturer of logic chips FTEs Full-time equivalents FTSE4Good Series of ethical investment stock market indices launched in 2001 by the FTSE Group G GAAP Generally accepted accounting principles GDPR General data protection regulation GeSI Global e-Sustainability Initiative GHG Greenhouse gas GILTI Global intangible low-tax income GPU Graphics processing unit GRI Global Reporting Initiative GRI standards GRI sustainability reporting standards H H2 Hydrogen HDD Hard disk drive High-NA High numerical aperture – specifically a next-generation EUV lithography platform (EUV 0.55 NA) HMI The brand name for ASML's range of electron beam (e-beam) wafer inspection and metrology systems Holistic lithography The ability to optimize the entire microchip manufacturing process and enable affordable scaling in chip technology by integrating lithography systems with computational modeling and wafer metrology solutions (analyzing and controlling the manufacturing process in real time) HTSC High Tech Systems Center I IAS International Accounting Standards IC Integrated circuit IDM Integrated device manufacturer IIRC International Integrated Reporting Council i-line Light with a wavelength of 365 nm, generated by mercury vapor lamps and used in some lithography systems ILO International Labor Organization


ASML ANNUAL REPORT 2021 296 Name Description Imaging The ability to transfer a pattern to the photoresist on to a wafer using light imec Interuniversitair Micro-Elektronica Centrum Immersion lithography A lithography technique that uses a pool of ultra-pure water between the lens and the wafer to increase the lenses numerical aperture (ability to collect and focus light). This improves both the resolution and depth of focus for the lithography system. Installed Base Management Net service and field option sales Intel Intel Corporation Internet of Things (IoT) A network of physical objects embedded with sensors, actuators, electronics and software that allow the objects to collect and exchange data IPR Intellectual property rights ISO International Organization for Standardization K KLA-Tencor KLA-Tencor Corporation KPI Key performance indicator KPMG KPMG Accountants N.V. KrF Krypton fluoride kWh Kilowatt-hour L LGBTQI+ Lesbian, gay, bisexual, transgender, queer and intersex LIBOR London Interbank Offered Rate Lithography Lithography, or photolithography, is the process in microchip manufacturing that uses light to pattern parts on a silicon wafer Logic Integrated devices such as microprocessors, microcontrollers and GPUs. Also refers to companies that manufacture such devices LTI Long-term incentive M MBA Master of Business Administration Memory Microchips, such as NAND Flash and DRAM, that store information. Also refers to companies that manufacture such chips. mm Millimeter (one thousandth of a meter) MPS Mature Products and Services MSCI Morgan Stanley Capital International N NA Numerical aperture NAND A binary logical operator that gives an output when it receives one or no input; a composite of ‘NOT AND’ NASDAQ NASDAQ Stock Market LLC NGO Non-governmental organization Nikon Nikon Corporation NL The Netherlands nm Nanometer (one billionth of a meter) Node A steppingstone in the chipmaking industry's roadmap for smaller features and more advanced microchips, describes and differentiates generations of semiconductor manufacturing technologies and the chips made with them. Nodes with “smaller sizes” refer to more advanced technologies. Non-GAAP A company’s historical or future financial performance, financial position, or cash flows that are not calculated or presented in accordance with the most comparable GAAP measure. NRE Non-recurring engineering NXE The original TWINSCAN system platform for EUV lithography NXT An enhanced version of the original TWINSCAN system platform offering significantly improved overlay and productivity O OCI Other comprehensive income ODM Original design manufacturer OECD Organization for Economic Co-operation and Development OEM Original equipment manufacturer ONE ASML’s Our New Enterprise program, which aims to improve our business processes and IT enterprise management system Overlay The layer-to-layer alignment of chip structures P Pattern fidelity A holistic measure of how well the desired pattern is reproduced on the wafer


ASML ANNUAL REPORT 2021 297 Name Description Pattern fidelity control A holistic approach to controlling the whole process of manufacturing advanced microchips in high volumes that aims to improve overall yields. It draws data from production equipment and computational lithography tools, analyzing it with techniques such as machine learning to provide real-time feedback. Patterning The process of creating a pattern in a surface (to build microchips) PGP Product generation process PME Bedrijfstakpensioenfonds Metalektro Preference shares foundation Stichting Preferente Aandelen ASML Preference share option An option to acquire cumulative preference shares in our capital Q QLTCS Quality, logistics, technology, cost and sustainability R R&D Research and development RBA Responsible Business Alliance RC ASML’s Remuneration Committee REACH Registration, evaluation, authorization and restriction of chemicals Recoverable amount The greater out of an asset’s fair value less costs to sell and its value in use Remuneration policy The remuneration policy applicable to the Board of Management of ASML Holding N.V. Reticle A plate containing the pattern of features to be transferred to the wafer for each exposure ROAIC Return on average invested capital RoHS Restriction of hazardous substances S Samsung Samsung Electronics Corporation SAQ Self-assessment questionnaire Sarbanes-Oxley Act The Sarbanes-Oxley Act of 2002 SAT Site acceptance test SB ASML’s Supervisory Board Scope 1 CO2 emissions Direct carbon dioxide emissions from resources an organization owns or controls Scope 2 CO2 emissions Indirect carbon dioxide emissions due to the energy and organization consumes Scope 3 CO2 emissions All other indirect carbon dioxide emissions that occur in an organization’s value chain SDG United Nations Sustainable Development Goals SEC The United States Securities and Exchange Commission SEMI Semiconductor Equipment and Materials International SEMI S2 SEMI S2 – Safety Guideline, Environmental, Health, and Safety Guideline for Semiconductor Manufacturing Equipment, a set of performance-based EHS considerations for semiconductor manufacturing equipment SEMI S23 SEMI S23 – Guide for Conservation of Energy, Utilities, and Materials Used by Semiconductor Manufacturing Equipment, guidelines for collecting, analyzing, and reporting energy-consuming semiconductor manufacturing equipment utility data SG&A Selling, general and administrative Shrink The process of developing smaller transistors for more advanced chips SoC System on a chip SPE Shareholders A syndicate of three banks for the purpose of leasing ASML’s headquarters in Veldhoven S&SC Sourcing and supply chain SSD Solid-state drive SSRA Safety risk assessment STEM Science, technology, engineering and mathematics STI Short-term incentive SWOT Strengths, weaknesses, opportunities and threats T TC ASML’s Technology Committee TCFD Task Force on Climate-related Financial Disclosures TCJA Tax Cuts and Jobs Act TDC Total direct compensation Technical competence The capabilities and spread of technical expertise among our people, and the extent to which they are embedded in our processes and operations Throughput The number of wafers a system can process per hour TJ Terajoule (one trillion joules)


ASML ANNUAL REPORT 2021 298 Name Description Transistor A semiconductor device that is the fundamental building block of microchips TSMC Taiwan Semiconductor Manufacturing Company Ltd. TSR Total shareholder return TWINSCAN ASML’s unique lithography system platform, with two complete wafer stages to allow one wafer to be mapped while another is being exposed - enabling higher accuracy and throughput. U UNGP United Nations guiding principles US United States US GAAP Generally accepted accounting principles in the United States of America US ITC United States International Trade Commission V VAT Value-added tax VLSI VLSI Research Inc. VNO-NCW The Confederation of Netherlands Industry and Employers VP Vice president W WACC Weighted average cost of capital Wafer inspection The process of locating and analyzing individual chip defects on a wafer Wafer metrology The process of measuring the quality of patterns on a wafer Wavelength The distance between two peaks of a wave such as light. The shorter the wavelength of light used in a lithography system, the smaller the features the system can resolve. Website www.asml.com Works Council Works Council of ASML Netherlands B.V. Y YieldStar ASML's diffraction-based wafer metrology platform Z Zeiss Carl Zeiss AG