share_log

全球半导体设备“大乱斗”

Global semiconductor equipment "chaos"

半導體行業觀察 ·  Jan 7, 2021 09:50

Semiconductor industry observation

This week, another piece of news came from the semiconductor equipment market.Applied Materials Inc (AMAT.US)In the acquisition of American investment companiesKKRThe group's semiconductor equipment supplier Kokusai Electric (originally part of Hitachi International Electric, spun off in June 2018 and later owned by the KKR Group) raised its price to $3.5 billion, 59 per cent higher than the original $2.2 billion.

According to media reports, Applied Materials Inc's documents submitted to the US Securities and Exchange Commission (SEC) explained the reasons for the increase in the bid: the long-term vision of the global semiconductor manufacturing equipment market is bright. Applied Materials Inc believes that the deal will help to further expand the market share of its memory production equipment.

Applied Materials Inc pointed out that the global digital transformation is accelerating, the dependence on semiconductors is deepening, and it is optimistic that the strong investment power of fabs will continue all the way to 2021; as Applied Materials Inc is the world's largest semiconductor equipment factory, its outlook has always been regarded as a weather vane for the industry. Gary Dickerson, CEO of the company, pointed out that the sudden health incident has changed the mode of social operation, led to the transformation of the communications industry, and led to a steady growth in equipment demand in fabs. The global dependence on semiconductors has never been seen before. Applied Materials Inc is confident that customers' investment needs will continue beyond 2021.

Applied Materials Inc's price increase measure fully illustrates the current hot degree of the semiconductor market. According to SEMI statistics, the global semiconductor equipment shipments in 2020 are very outstanding, and in the next 2021 and 2022, there will be a continuous substantial increase. All these give the major semiconductor equipment manufacturers a strong strength to expand their business, increase the price to acquire the target manufacturers, and are determined to win.

It has been reported that Applied Materials Inc's acquisition will pose a certain threat to Tokyo Electronics, Japan's largest semiconductor equipment manufacturer. Although the scale and global influence of Kokusai Electric is limited, its excellent technology and products can help Applied Materials Inc make up for its shortcomings, and this part of technology and products are exactly what Tokyo Electronics is good at. It can be seen that as the world's largest semiconductor equipment manufacturer, Applied Materials Inc not only competes fiercely in revenue with ASML, the world's second-ranking old rival, but also begins to further "snatch" Tokyo Electronics market share, which ranks third in the world. Under the situation of bright development prospects, the market competition between semiconductor equipment manufacturers, especially the top manufacturers in the world, has become more tense.

I remember that in the second half of 2020, Robert Castellano, a well-known semiconductor industry analyst, said that Applied Materials Inc would surpass ASML in 2020 and become the leader of semiconductor equipment again. According to the statistics of Castellano in 2019, ASML surpassed Applied Materials Inc that year to become the top semiconductor equipment manufacturer in the world. With the absolute strength of calling the wind and rain in the EUV lithography market, in the past two years, the revenue of ASML has gradually caught up with the traditional overlord of semiconductor equipment, Applied Materials Inc, thus resulting in the first name dispute.

Applied Materials Inc has been firmly in the position of the first supplier of semiconductor equipment for a long time, relying on its comprehensive and powerful product line, especially the semiconductor manufacturing equipment with higher technology content, the company has a profound technical foundation.

Historically, Applied Materials Inc has continuously strengthened his strength through a series of mergers and acquisitions. However, in the 30 years from 1967 to 1996, the company had only one merger related to its core business, that is, it acquired the British company Lintott Engineering in 1980, entered the ion implantation market, and launched the first fully automatic ion implanter Precision Implant 9000 in 1985. In 1992, Applied Materials Inc overtook Tokyo Electronics to become the world's largest semiconductor equipment manufacturer. After becoming a market leader, the company accelerated the pace of mergers and acquisitions. From 1997 to 2007, it launched 14 mergers and acquisitions, constantly entering new markets and improving product composition.

Applied Materials Inc's semiconductor manufacturing related equipment is its main source of income, the product line covers dozens of semiconductor manufacturing equipment, including atomic layer deposition (ALD), chemical vapor deposition (CVD), physical vapor deposition (PVD), ion implantation, etching, rapid thermal treatment (RTP), chemical mechanical polishing (CMP), and wafer testing equipment. In terms of ASML, according to Gartner, the company accounts for more than 80% of the global lithography market, and Deep Ultraviolet Lithography (DUV) accounts for the highest proportion of revenue, reaching 55%.Taiwan Semiconductor ManufacturingWith the mass production of 7nm + and 5nm processes, the demand for EUV lithography machines has increased significantly.

In the third quarter of 2020, ASML delivered a total of 10 EUV devices and achieved sales revenue of 14 systems in the quarter. New orders for the third quarter reached 2.9 billion euros, of which 595 million euros came from 4 EUV devices.

In terms of EUV lithography machines, most of ASML TWINSCAN NXE:3400B systems have been upgraded to productivity modules at the same time. ASML announced the final specification of the TWINSCAN NXE:3600D, a new model on the EUV roadmap, with an exposure speed of 30 mJ / cm2, 160wafers per hour, an 18% increase in productivity and an improvement in the accuracy of the machine to 1.1nm. Shipments are scheduled to start in mid-2021.

Recently, it has been reported that ASML has shipped the 100th EUV device, and orders are still increasing. Applied Materials Inc and ASML, a comprehensive balance, an absolute advantage, and in the current industrial development node, the growth rate of ASML is even better. This may also be an important reason why Applied Materials Inc wants to expand his territory and market share by means of mergers and acquisitions.

Japan and South Korea are both powerful countries in integrated circuits. However, when it comes to semiconductor equipment in the upper reaches of the industrial chain, Japan is in an absolutely dominant position, which is precisely because of this, after the supply of semiconductor equipment and materials was "cut off" by the Japanese government in the summer of 2019. South Korea seems at a loss.

In Japan, in addition to Tokyo Electronics, which ranks third in the world, there are a number of top 15 semiconductor equipment manufacturers in the world, mainly including the following.

The company is good at cleaning equipment, has developed all kinds of cleaning equipment suitable for a variety of environments, and has won the first market share in the three main areas of semiconductor cleaning.

Founded in 2001 by Hitachi Ltd. Instruments Group and Semiconductor Manufacturing Equipments Group and Nissei Sangyo Co.,Ltd. (a company specializing in electronics) formed by a merger. The company mainly produces deposition, etching, testing equipment, as well as packaging and patch equipment.

The semiconductor equipment produced by the company is mainly heat treatment equipment.

The company's clean room storage and handling systems are widely used in semiconductor, liquid crystal and other flat panel display manufacturing industries.

One of the major suppliers of lithography machines, but is not competitive in EUV.

The world's leading manufacturers of packaging and testing equipment.

In South Korea, compared with Japan, the number and market influence of semiconductor equipment manufacturers are relatively limited. The most famous is SEMES, which was founded in 1993 and is the largest semiconductor equipment factory in Korea, mainly producing cleaning, lithography and packaging equipment.

In the past two years, the dispute over semiconductor equipment and materials between Japan and South Korea has become increasingly fierce. South Korea had relied heavily on Japanese suppliers until July 2019, when Japan imposed trade restrictions on key materials for semiconductors and monitors. Since 2020, the South Korean government has been committed to nurturing local semiconductor suppliers, initially protecting materials, parts and equipment locally to prevent supply chain disruptions, and has now developed into a strategy to cultivate globally competitive suppliers. The South Korean government has pledged to invest 2.5 trillion won in the research and development of semiconductor equipment and materials this year, an increase of 23% over the investment in 2020.

With the support of the government, small and medium-sized semiconductor equipment manufacturers in South Korea are complacent and are expected to achieve rapid growth.

Represent manufacturers such as Jusung Engineering, which manufactures semiconductors, flat panel displays and solar cell production equipment. Although the company struggled in 2020 with fewer orders from local customers, growth is expected to resume this year with government support, with major semiconductors and display equipment customers resuming investment in recent months. Orders from Chinese customers have also resumed. Jusung vs.LG DisplayA contract was signed to provide 17.5 billion won of display manufacturing equipment in November 2020. It also signed a display equipment supply agreement with China's InfoVision Optoelectronics Company. The company is increasing its R & D investment in next-generation equipment, with a cumulative investment of 38.3 billion won in the first three quarters of 2020, accounting for 43.3 per cent of the company's sales.

Jusung is producing atomic layer deposition (ALD) devices that can be used to make semiconductor chips as well as displays and solar cells. The company has obtained 2166 patents, which is the highest in the local semiconductor equipment industry. Hwang Chul-joo, CEO of Jusung Engineering, said: "given the size of the investment, we expect returns this year to reach an all-time high. As we become the first company to develop this technology, Jusung will be highly competitive in the ALD field. "

According to Gartner statistics, there are 58 wafer processing equipment manufacturers in the world, of which Japan has the largest number of enterprises, accounting for 21, accounting for 36%, followed by 13 in Europe and 10 in North America. From the point of view of integrated wafer processing and closed testing equipment, North America and Japan are in an absolutely dominant position.

As far as wafer processing equipment is concerned, the United States is very strong. Among the top five global wafer processing equipment suppliers, the United States occupies three seats, namely Applied Materials Inc, which ranks first, with a market share of about 19%.Lam Research, with a market share of about 13%; and KLA, which ranks fifth, with a market share of about 6%.

Specifically, in the wafer processing equipment, the equipment of several major processes are also basically in a high degree of monopoly of the industry leader. Among them, in the field of PVD, Applied Materials Inc accounts for nearly 85% of the market share, CVD accounts for 30%; in terms of etching equipment, Lam Research has the largest market share of 53%, while KLA occupies the top spot in the global market in the field of semiconductor optical testing. In all areas, the combined market share of the top three is more than 70%.

In Japan, from the perspective of semiconductor equipment segment, there are as many as 10 types of semiconductor equipment with a market share of more than 50%.

Japanese companies account for 37% of the overall global market share of semiconductor equipment. In electron beam drawing equipment, coating / development equipment, cleaning equipment, oxidation furnace, decompressionCVD equipmentSuch as important front-end equipment, important packaging equipment represented by dicing machine, and important testing equipment represented by probe, Japanese enterprises are very competitive.

Among the 15 categories of key equipment in the front, Japanese enterprises have an average market share of 38%, more than 40% of the six categories of products, and more than 90% of the market share of coated development equipment in the electron beam. Japanese enterprises have an average market share of 41%, and the market share of slicing, molding and probes is more than 50%.

Generally speaking, the overall strength of the United States is stronger than that of Japan in terms of the leading equipment for wafer processing, while the comprehensive strength of Japan's closed testing equipment appears to be better. The following figure of ▼ shows the top 15 semiconductor equipment manufacturers (in millions of US dollars) in 2019.

20210107100355586v235zr3jdj70hzl

As can be seen from the picture, there are 4 American manufacturers and 8 Japanese manufacturers. Although Japan has an advantage in quantity, it is at a disadvantage in terms of the overall market rate, especially the number of the top five manufacturers. In this way, semiconductor devices in the United States seem to be more popular.

In terms of semiconductor equipment, Chinese mainland has a strong consumption power, so the major semiconductor equipment manufacturers are keeping an eye on this cake.

Recently, statistics show that a number of local semiconductor equipment companies have won large orders. In the fourth quarter of 2020, domestic equipment manufacturers won the bid for 82 units, an increase of 100% over the same period last year. The order cycle is 2-3 quarters, and the revenue is confirmed in 2021. The domestic market share of a number of equipment has increased by more than 10%.

The revenue of domestic semiconductor equipment enterprises has broken through the profit inflection point of 7-1 billion (according to the statistics of domestic and foreign equipment enterprises, the revenue of 7-1 billion is the profit inflection point).

According to this momentum of development, the localization rate of semiconductor equipment in China is expected to continue to improve in 2021. It is expected to have a place in the highly competitive international semiconductor equipment market.

(editor: Zhang Jinliang)

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment