share_log

台积电(TSM.US)跃升全球最大封装厂?

台積電(TSM.US)躍升全球最大封裝廠?

智通財經 ·  10/21 11:32

提到晶圓代工,台積電絕對是首屈一指的行業「領頭羊」。

提到晶圓代工,台積電(TSM.US)絕對是首屈一指的行業「領頭羊」。

而如今,隨着摩爾定律放緩、AI浪潮推動,先進封裝作爲提升芯片性能的關鍵技術路徑,正被進一步推至半導體行業的前沿。

根據Yole最新發布的《2024年先進封裝狀況》報告,預計2023-2029年先進封裝市場的複合年增長率將達到11%,市場規模將擴大至695億美元。

big

市場潛力之下,前後道頭部廠商紛紛搶灘,積極投資先進封裝技術。

在這個過程中,我們可以明顯觀察到,傳統封測廠(OSAT)在市場競爭中逐漸處於一定的落後位置,許多原本專注於代工的企業也開始進軍先進封裝市場,從台積電的CoWoS,到英特爾的EMIB,再到三星的X-Cube,各類2.5D與3D封裝陸續湧現並走向成熟,在封裝這片藍海中,掀起了猶如千帆競逐的熱潮。

其中,台積電逐漸逼近先進封裝市場首位。

近日,研究機構ALETHEIA斷言,台積電不僅是先進製程領頭羊,先進封裝明年也將起飛,有望成爲最大封裝服務供應商,堪稱半導體產業獨一無二的存在。

得益於Chiplet架構加速採用,驅動2.5D/3D封裝技術進展,台積電2026年先進封裝產能將是2023年的十倍,2027年更會達到2023年的15倍。

可以預見,如今後摩爾時代,先進封裝備受資本與產業矚目。台積電作爲執牛耳者,更是在大力入局先進封裝,技術創新、產能迭代異常活躍。

台積電,引領先進封裝市場

回顧過去多年發展歷程能看到,台積電推出了支持先進封裝和開啓異構集成新時代的技術戰略。

早在2008年,台積電便成立集成互連與封裝技術整合部門(IIPD)入局先進封裝。

彼時,在金融危機的背景和影響下,台積電陷入了經營虧損、被迫減薪裁員的困境。與此同時,28nm製程工藝環節,研發成本快速提升;台積電同時還面臨三星、英特爾、格芯以及聯電的強力挑戰。

內憂外患下,張忠謀重新出山執掌台積電,同時請回已經退休的蔣尚義掌舵研發,開發先進封裝技術進行差異化競爭。

近年來,台積電每年資本開支中約10%投入先進封裝、測試、光罩等,目前已形成2.5D封裝CoWoS、扇出型封裝InFO和3D封裝SoIC等技術陣列。

筆者在《代工巨頭「血拼」先進封裝》一文中曾有過介紹:2011年,台積電帶來了第一個產品——CoWoS,正是台積電獨霸全球先進封裝領域的祕密武器之一。

CoWoS(Chip On Wafer On Substrate)是一種2.5D的整合生產技術,由CoW和WoS組合而來:CoW就是將芯片堆疊在晶圓上(Chip-on-Wafer),而WoS就是基板上的晶圓(Wafer-on-Substrate),整合成CoWoS。

big

台積電CoWoS結構示意圖

據悉,這是蔣尚義在2006年提出的構想。

CoWoS的核心是將不同的芯片堆疊在同一片硅中介層實現多顆芯片互聯。在硅中介層中,台積電使用微凸塊(μBmps)、硅穿孔(TSV)等技術,代替傳統引線鍵合用於裸片間連接,大大提高了互聯密度以及數據傳輸帶寬。

CoWoS技術實現了提高系統性能、降低功耗、縮小封裝尺寸的目標,從而也使台積電在後續的封裝技術保持領先。這也是目前火熱的HBM內存、Chiplet等主要的封裝技術。

根據不同的中介層(interposer),台積電「CoWoS」封裝技術分爲三種類型:

CoWoS_S:使用Si襯底作爲中介層。該類型是2011年開發的第一個「CoWoS」技術,在過去,「CoWoS」是指以硅基板作爲中介層的先進封裝技術;

CoWoS_R:它使用重新佈線層(RDL)作爲中介層;

CoWoS_L:它使用小芯片(Chiplet)和RDL作爲中介層,結合了CoWoS-S和InFO技術的優點,具有靈活的集成性。

台積電通過早期的技術積累和大量成功案例,CoWoS封裝技術目前已迭代到了第5代。

big

CoWoS 封裝技術的路線圖

然而,如今火熱的CoWoS在剛推出時一度處境尷尬。

由於價格昂貴,台積電CoWoS封裝只得到了FPGA大廠賽靈思的訂單,這也是台積電先進封裝項目組在2012年收到的唯一訂單。

對此,台積電決定給CoWoS做「減法」,開發出了廉價版的CoWoS技術,即InFO技術。

InFO封裝把CoWoS封裝中的硅中介層換成了polyamide film材料,從而降低了單位成本和封裝高度。這兩項都是InFO技術在移動應用和HPC市場成功的重要標準。

big

得益於InFO技術的推出,當年蘋果的iPhone7、iPhone 7Plus處理器,採用的便是InFO封裝技術。這也成爲台積電後來能獨佔蘋果A系列處理器訂單的關鍵因素。

而真正引爆CoWoS封裝的產品是AI芯片。2016 年,英偉達推出首款採用CoWoS封裝的GPU芯片GP100,爲全球AI熱潮拉開序幕;2017年Google、英特爾產品相繼交由台積電代工,採用CoWoS封裝。

至此,因成本高昂而坐冷板凳多年的CoWoS技術迎來新局面,產能也相繼擴充。

此外,台積電還公佈了創新的系統整合單芯片多芯片3D堆疊技術——SoIC。

SoIC是一種基於台積電的CoWoS與多晶圓堆疊(WoW)封裝技術開發的新一代多芯片堆疊技術,這兩種方案在混合和匹配不同的芯片功能、尺寸和技術節點時提供了出色的設計靈活性。

big

SoIC的推出也標誌着台積電已具備直接爲客戶生產3D IC的能力。相較2.5D封裝方案,SoIC的凸塊密度更高,傳輸速度更快,功耗更低。

2020年,台積電宣佈將其2.5D和3D封裝產品合併爲一個全面的品牌3DFabric,進一步將製程工藝和封裝技術深度整合,以加強競爭力。

big

爲了使所有這些封裝技術在整個生態系統中發揮作用,台積電在2022年成立了3DFabric聯盟,與包含EDA、IP、DCA/VCA、內存、OSAT、基板、測試7個環節的頭部企業開展合作,旨在將其自有的封裝技術標準化,以便提前搶佔未來市場的主導地位。該組織還推動整個3Dfabric堆棧的工具、流程、IP 和互操作性的 3DIC 開發。

big

台積電先進封裝技術,持續升級

在前不久的北美技術研討會上,台積電詳細介紹了其半導體和芯片封裝技術的未來路線圖。

CoWoS技術迭代趨勢

首先是CoWoS封裝技術,當前的CoWoS迭代支持中介層(硅基層)的尺寸高達光刻中使用的典型光掩模的3.3倍。預計到2026年,台積電的「CoWoS_L」將使其尺寸增加到大約5.5倍的掩模尺寸,爲更大的邏輯芯片和多達12個HBM內存堆棧留出空間。而僅僅一年後的2027年,CoWoS將擴展到8倍掩模版尺寸甚至更大。

big

在近日的專題演講上,台積電高效能封裝整合處處長侯上勇表示,作爲能滿足所有條件的最佳解決方案,台積電的先進封裝重點會從CoWoS-S 逐步轉移至CoWoS-L,並稱CoWoS-L 是未來藍圖關鍵技術。

big

由於頂部晶片(Top Die)成本非常高,CoWoS-L是比CoWoS-R、CoWoS-S更能滿足所有條件的最佳解決方案,且因爲具有靈活性,可在其中介層實現異質整合,會有其專精的尺寸與功能。CoWoS-L可兼容於各式各樣的高效能頂級芯片,例如先進邏輯、SoIC和HBM。

SoIC演進路線圖

針對SoIC-X(無凸塊)封裝技術,台積電預計,到2027年,SoIC-X技術將從目前的9μm凸塊間距一路縮小到3μm間距,將A16和N2芯片組合堆疊在一起,改進的混合鍵合技術旨在讓台積電的大型HPC客戶(AMD、博通、英特爾、NVIDIA等)能夠爲要求苛刻的應用構建大型、超密集的分解式處理器設計,大大提高組裝芯片的帶寬密度和產品性能。

big

此外,除了針對需要極高性能的設備開發無凸塊SoIC-X封裝技術外,台積電還將在不久的將來推出凸塊SoIC-P封裝工藝。SoIC-P專爲更便宜的低性能應用而設計,這些應用仍需要3D堆疊,但不需要無凸塊銅對銅TSV連接帶來的額外性能和複雜性。這種封裝技術將使更廣泛的公司能夠利用SoIC,雖然台積電不能代表其客戶的計劃,但更便宜的技術版本可能會使其適用於更注重成本的消費者應用。

根據台積電目前的計劃,2025年將提供正面對背面 (F2B) 凸塊SoIC-P技術,該技術能夠將0.2光罩大小的N3(3納米級)頂部芯片與N4(4納米級)底部芯片配對,並使用25μm間距微凸塊 (µbump) 進行連接。2027年,台積電將推出正面對背面(F2F)凸塊SoIC-P技術,該技術能夠將N2頂部芯片放置在間距爲16μm的N3底部芯片上。

big

爲了讓SoIC在芯片開發商中更受歡迎、更容易獲得,還有很多工作要做,包括繼續改進其芯片到芯片接口。但台積電似乎對行業採用SoIC非常樂觀,預計到2026-2027年將發佈約30種SoIC設計。

台積電強調,3D IC是將AI芯片存儲器與邏輯芯片集成的關鍵方法。預估2030年全球半導體市場將成爲萬億產業,其中HPC與AI爲關鍵驅動力,佔比達40%,這也讓AI芯片成爲3D IC封裝的關鍵驅動力。

big

光電封裝,台積電的下一個目標

在大力發展傳統電封裝的時候,光也成爲了台積電的關注點。

在今年的技術研討會上,台積電還透露了「3D Optical Engine」戰略,旨在將閃電般快速的光學互連集成到其客戶設計中。隨着帶寬需求的激增,銅線逐漸無法滿足前沿數據中心和HPC工作負載的需求,利用集成硅光子學的光學鏈路可提供更高的吞吐量和更低的功耗。

據了解,台積電正在開發緊湊型通用光子引擎 (COUP) 技術,以支持AI熱潮帶來的數據傳輸爆炸式增長。COUPE使用SoIC-X芯片堆疊技術將電子芯片堆疊在光子芯片之上,從而在芯片間接口處提供最低阻抗,並且比傳統堆疊方法具有更高的能效。

big

台積電計劃在2025年使COUPE獲得小型可插拔器件的認證,隨後在2026年將其作爲共封裝光學器件(CPO)集成到CoWoS封裝中,將光學連接直接引入封裝中。

從其路線規劃圖中能看到,台積電第一代產品以1.6Tbps的速度插入標準光纖端口,是目前高端以太網的兩倍;第二代產品通過將COUPE與處理器一起集成到台積電的CoWoS封裝中,將速度提升至6.4Tbps;路線圖的最終成果是CoWoS「COUPE中介層」設計,其光纖帶寬達到驚人的12.8Tbps。

目前,光學元件、硅光子元件還在比較初期的百花齊放階段,隨着AI時代需要的巨量運算、數據傳輸大量需求,耗能成爲重要議題,硅光子元件的導入成爲數據中心重要趨勢。

此外,台積電還正在推進扇出式面板級封裝(FOPLP)工藝,目前已經成立了專門的研發團隊和生產線,只是目前仍處於起步階段,相關成果可能會在3年內問世。魏哲家還表示未來英偉達和AMD等HPC客戶可能會採用下一代先進封裝技術,用玻璃基板取代現有材料。

總的來看,台積電正在加碼進軍先進封測領域,其中一個關鍵原因在於希望能延伸自己的先進製程技術,通過製造高階CPU、GPU、FPGA芯片,並提供相應的封測流程,提供完整的「製造+封測」解決方案。

正如台積電董事長兼總裁魏哲家提出的「晶圓代工2.0」概念,即不僅包括傳統的晶圓製造,還涵蓋了封裝、測試、光罩製作等環節,以及IDM(不包括存儲芯片)。晶圓代工的界線逐漸模糊,因此擴大了定義。但台積電將專注於最先進後段封測技術,以幫助客戶製造前瞻性產品。

先進封裝產能供不應求,台積電加速擴產

隨着AI需求全面引爆,台積電CoWoS產能自2023年起面臨持續緊缺。台積電總裁魏哲家今年7月表示CoWoS需求「非常強勁」,爲應對強勁的客戶需求,台積電正火速擴充先進封裝產能。台積電將在2024年和2025年均實現產能至少翻倍,目標是在2025至2026年間實現供需的基本平衡,並計劃在未來幾年內繼續加大投入,以確保產能的進一步擴充來滿足市場需求。

業界預計,今年底台積電CoWoS月產能上看4.5萬~5萬片,較2023年的1.5萬片呈現倍數增長,2025年底CoWoS月產能更將攀上5萬片新高峰。

目前臺積電共有五座先進封測廠,分別位於竹科、中科、南科、龍潭與竹南。其中竹南的AP6於2023年6月正式啓用,爲台積電首座實現3D Fabric整合前段至後段製程以及測試的全自動化工廠,經過了一年的運營,已成爲中國臺灣最大的CoWoS封裝基地。

這一擴產計劃不僅體現在產能的翻倍上,還涉及多個新工廠的投入使用。2023年8月,台積電斥資171.4億新臺幣購買了群創南科4廠(AP8廠區),預計明年下半年投產。該廠房預計未來的封裝產能將是台積電竹南先進封裝廠的9倍,供應鏈認爲未來先進製程的晶圓代工、扇出型封裝以及3D IC等產線都有可能會進駐。台積電此次收購群創南科4廠,主要是爲了避免冗長的環評步驟,預計只需進行廠內改裝,便可於明年正式投入生產。

除此之外,台積電還在嘉義科學園區建設2座CoWoS先進封裝廠,規劃中的兩座封裝廠預計在2028年開始量產,主要以系統整合單芯片(SoIC)爲主。

值得一提的是,台積電不僅在臺灣擴產,還在全球範圍內尋找合適的建廠地點,甚至考慮在日本和美國建設先進封裝廠。

近日有消息披露,台積電和芯片封裝公司Amkor宣佈,兩家公司已簽署了一份諒解備忘錄,將在美國亞利桑那州合作進行芯片生產、封裝和測試。台積電將利用這些服務來支持其客戶,特別是那些使用台積電在鳳凰城先進晶圓製造設施的客戶。

此外,台積電還將與委外OSAT持續合作佈局先進封裝,以滿足客戶需求。

與此同時,CoWoS並不是台積電希望快速擴大產能的唯一先進封裝技術生產線。該公司還擁有集成芯片系統 (SoIC) 3D堆疊技術,該技術的採用率有望在未來幾年內不斷增長。爲了滿足對其 SoIC 封裝方法的需求,台積電將在2026年底之前以100%的複合年增長率擴大SoIC產能。

該產能計劃從2023年底的約2000片月產能,在2024年底躍升至4000-5000片,並有望在2025年突破8000片,2026年再翻倍。由於大廠全數包下產能,台積電相關產能利用率將維持高檔水平。

目前臺積電SoIC技術已在竹南六廠(AP6)進入量產階段,台積電還規劃在嘉義先進封測七廠(AP7)分階段擴建,不僅包括CoWoS技術,也涵蓋SoIC。

上述一系列舉動背後,體現出台積電積極應對市場需求的決心和策略。

從市場角度來看,台積電的新動作預示着它在全球半導體產業鏈中的重要性。隨着技術的不斷演進,競爭對手如三星、英特爾等也在加大對先進封裝技術的投資。這使得台積電必須不斷創新,以保持市場的領先地位。通過對新工廠的佈局,台積電可以快速提高市場份額,特別是在當前對高性能芯片需求激增的趨勢下。

台積電的兩把「利劍」

後摩爾時代,先進封裝獲重視,芯片封裝測試隨着半導體產業發展重要性日漸提升。

Yole強調,先進封裝供應鏈正在經歷顯著轉型。OSAT正在擴大其測試能力,而純測試公司正在投資封裝和組裝。代工廠正在進入封裝領域,對傳統OSAT構成競爭威脅。來自不同背景的參與者正在進入市場。

不同商業模式的企業都在同一個高端封裝市場空間展開競爭。但是不同業態的廠商,在封裝業務方面投入的資源也有所不同,技術發展路線也存在差異。

針對代工廠來講,由於2.5D/3D封裝技術中涉及前道工序的延續,晶圓代工廠對前道製程非常了解,對整體佈線的架構有更深刻的理解,走的是芯片製造+封裝高度融合的路線。因此,在高密度的先進封裝方面,Foundry比傳統OSAT廠更具優勢。

回顧台積電的迅速佈局與目標,台積電的集成商業模式,結合前端製造與先進封裝能力,正在成爲行業的基準,將直接影響未來的市場格局。

ALETHEIA估計,台積電2026年來自封裝事業的營收將會達到驚人的250億美元,不只比2023年成長3.5~4倍,更超越所有成熟製程的總和,並與4、5納米制程規模旗鼓相當,屆時將貢獻全年營收近2成比重。若進一步將台積電針對先進製程與CoWoS漲價考慮進來,台積電2026年營收上看1400億美元,足足是2023年的2倍,獲利部分更是2023年的近2.5倍。

再就半導體晶圓代工產業來看,目前完全沒看到AI減速的跡象,反而在2/3/5納米制程昂揚趨勢與CoWoS擴產帶動下,對臺積電後市依然樂觀。

正如文章開頭所言:「台積電不僅是先進製程領頭羊,先進封裝明年也將起飛,有望成爲最大封裝服務供應商,堪稱半導體產業獨一無二的存在。」

本文轉載自「 半導體行業觀察」;智通財經編輯:李佛。

譯文內容由第三人軟體翻譯。


以上內容僅用作資訊或教育之目的,不構成與富途相關的任何投資建議。富途竭力但無法保證上述全部內容的真實性、準確性和原創性。
    搶先評論