share_log

台积电2nm,重磅消息

台積電2nm,重磅消息

半導體行業觀察 ·  07/10 12:59

跨入納米片時代。

據臺媒報道,$台積電 (TSM.US)$2nm試運行將於下週開始,這要比預期的要早得多。

台積電在微細製程領域一直處於芯片製造領域的領先地位,蘋果是其最重要的客戶。該公司早在去年 12 月就首次向蘋果展示了其 2nm 芯片工藝,試產是在量產之前對計劃使用的生產線工藝進行測試的階段。

早前的消息顯示,台積電最新芯片技術的試生產預計最早要到 10 月才會開始。但現在,台積電把2nm的試產提前到7 月份舉行,這是一個令人鼓舞的跡象。相關報道顯示,台積電將於下週在位於中國臺灣北部新宿科學園區的寶山工廠生產 2nm 半導體。2nm生產設備已於第二季開始進駐寶山廠區並安裝完畢,第三季將進入試產階段,比市場對第四季的預期要早,被解讀爲是爲了在量產前加快步伐,確保良率穩定。

值得一提的是,從相關報道看到,蘋果將包下臺積電首批的2nm全部產能。

台積電2nm,跨入納米片時代

據台積電在官網中介紹,2nm技術採用該公司第一代納米片晶體管技術,在性能和功耗方面實現了全節點跨越。預計 2025 年實現量產。

台積電表示,公司主要客戶已完成2nm IP設計並開始硅驗證,台積電還開發出低阻值RDL(重新分佈層)、超高性能金屬-絕緣體-金屬(MiM)電容器,以進一步提升性能。

台積電 N2 技術將於 2025 年推出,無論是在密度還是在能效方面,它都將成爲半導體行業最先進的技術。N2 技術採用領先的納米片晶體管結構,將提供全節點性能和功率優勢,以滿足日益增長的節能計算需求。憑藉我們持續改進的戰略,N2 及其衍生產品將進一步擴大我們在未來的技術領先地位。

與 N3E 相比,台積電預計 N2 將在相同功率下將性能提高 10% 至 15%,或在相同頻率和複雜度下將功耗降低 25% 至 30%。至於芯片密度,該代工廠正在考慮將密度提高 15%,以當代標準來看,這是一個很好的擴展程度。

根據台積電在之前於北美舉辦技術峯會上的介紹,公司在2nm上會有 N2P和N2X等系列節點。其中N2P 將在 2026 年底接替 N2。同時,整個 N2 系列將增加台積電的全新 NanoFlex 功能,該功能允許芯片設計人員混合和匹配來自不同庫的單元,以優化性能、功率和麪積 (PPA)。

台積電當代的 N3 製造工藝已經支持類似的功能 FinFlex,該功能還允許設計人員使用來自不同庫的單元。但由於 N2 依賴於全柵 (GAAFET) 納米片晶體管,因此 NanoFlex 爲台積電提供了一些額外的控制:首先,台積電可以優化溝道寬度以提高性能和功率,然後構建短單元(以提高面積和功率效率)或高單元(以提高 15% 的性能)。

繼 N2和性能增強型 N2P之後,電壓增強型 N2X 也將於 2026 年問世。儘管台積電曾表示 N2P 將在 2026 年增加背面供電網絡 (BSPDN),但看起來情況並非如此,N2P 將使用常規供電電路。原因尚不清楚,但看起來該公司決定不爲 N2P 添加昂貴的功能,而是將其保留到下一代節點,該節點也將於 2026 年底向客戶提供。

N2 仍有望在電源方面實現一項重大創新:超高性能金屬-絕緣體-金屬 (SHPMIM:super-high-performance metal-insulator-metal) 電容器,這些電容器的加入是爲了提高電源穩定性。SHPMIM 電容器的容量密度是台積電現有超高密度金屬-絕緣體-金屬 (SHDMIM) 電容器的兩倍多。此外,與上一代產品相比,新的 SHPMIM 電容器的薄層電阻 (Rs,單位爲歐姆/平方) 和通孔電阻 (Rc) 降低了 50%。

需求旺盛,台積電瘋狂擴產

最初,台積電規劃是在寶山的Fab 20生產2nm。但後續,因爲需求旺盛,台積電一直在擴產2nm產能。

相關報道顯示,台積電N2 第一年的新流片 (NTO) 數量是 N5 的兩倍多。台積電高管在4月中的法說會中也指出,從客戶設計定案狀況來看,2nm需求更勝3nm、5nm等先進製程,且幾乎所有AI相關公司都有與台積電合作,預期2025年將可望進入量產,屆時會是台積電非常重要的生產節點,看好未來2nm的貢獻金額可望高於3nm製程。

台積電指出,2nm製程的產品組合將與3nm相當類似,代表屆時仍以高效能運算(HPC)及智慧手機應用等終端應用爲主。相關消息顯示,在 2nm 客戶端領域,蘋果仍處於領先地位,並將該技術用於旗艦智能手機。英特爾也表達了興趣,預計 $美國超微公司 (AMD.US)$$英偉達 (NVDA.US)$ 和聯發科也將效仿。

根據台積電先前規劃,2nm廠區分別落在新竹寶山Fab 20的四座12吋晶圓廠,以及高雄三個廠區Fab 22,當中又以新竹寶山的Fab 20進度最快,可望成爲台積電最先量產2nm的廠區。

據集邦在年初援引業內消息人士透露,台積電2nm生產基地位於新竹科學園區及高雄,其中寶山二期將在第二季度開始投產,年底將建立一條“微型產線”,預計在2025年第四季度開始量產,初期月產能約爲3萬至3.5萬片晶圓。同時,高雄工廠預計將提前於原計劃在年底開始設備安裝,目標是在 2026 年上半年實現量產,初始月產能計劃與寶山的 30,000 至 35,000 片晶圓相似。

同一消息來源還表示,寶山和高雄工廠正式量產後,將進入產能提升階段,目標是到 2027 年實現每月約 11 萬至 12 萬片晶圓的綜合產能。兩座晶圓廠將生產第一代 2nm 和採用背面電源軌技術的第二代 N2P。下一代 1.4nm(A14)預計將於 2027 年下半年投產,可能位於臺中。

近日,又有業界消息傳出,因持續加碼2nm等最先進製程相關研發加上2nm後續需求超乎預期強勁,產能將導入南科,台積電2025年資本支出可望達320億美元至360億美元區間,爲歷年次高,年增12.5%至14.3%。消息強調,台積電2nm客戶群需求超乎預期強勁,相關擴充產能規劃也傳將導入南科,以製程升級挪出空間。除了蘋果先前率先包下臺積電2nm首批產能,非蘋應用客戶也因AI蓬勃發展而積極規劃採用。

業界表示,台積電2nm產能建置估計全臺,包含竹科寶山可蓋四期、高雄二期,南科相關規劃若成真,估將有助2nm家族衝刺達至少八期八個廠的產能。

此外,台積電正在美國建設三個工廠 ,第二座晶圓廠除了之前宣佈的 3nm 技術外,還將採用下一代納米片晶體管生產世界上最先進的 2nm 工藝技術,並將於 2028 年開始生產。第三座晶圓廠將採用 2nm 或更先進的工藝生產芯片,並將於 2020 年底開始生產。與台積電所有先進的晶圓廠一樣,這三座晶圓廠的潔淨室面積都將約爲行業標準邏輯晶圓廠的兩倍。

三星不甘落後

作爲台積電最接近的競爭對手,三星在3nm反超台積電之後,在2nm上也緊追滿趕。三星在美國舉行的代工論壇上宣佈,計劃明年年底開始2nm量產。三星表示,與3nm 工藝相比,其 2nm 工藝的性能和能效分別提高了 12% 和 25%,這是芯片製造商中首家這樣做的。三星進一步指出,與 3nm 工藝相比,其 2nm 工藝提供的芯片體積也小 5%。

據介紹,三星的 2nm 節點包括四種變體(如果算上更名的版本則有五種),每種變體都根據其預期應用而有所區別。前兩個版本計劃於 2025 年和 2026 年推出,面向移動設備。2026 年,其 SF2X 將針對高性能計算 (HPC),2027 年,它將爲 HPC 提供帶有背面電源的 SF2Z。其最終 2nm 節點也將在 2027 年推出,面向汽車應用。

該公司還透露,將於 2027 年開始採用 1.4nm 工藝批量生產芯片。

昨日,三星更是宣佈,將向日本領先的人工智能公司 Preferred Networks 提供採用 2 納米 (nm) 代工工藝和先進的 2.5D 封裝技術 Interposer-Cube S (I-Cube S) 的交鑰匙半導體解決方案。三星強調,通過利用三星領先的代工和先進的封裝產品,Preferred Networks 旨在開發強大的 AI 加速器,以滿足生成式 AI 驅動的計算能力不斷增長的需求。

三星電子公司副總裁兼代工業務開發團隊負責人 Taejoong Song 表示:“這份訂單至關重要,因爲它證明了三星的 2nm GAA 工藝技術和先進封裝技術是下一代 AI 加速器的理想解決方案。我們致力於與客戶密切合作,確保我們產品的高性能和低功耗特性得到充分實現。”

三星項責任人強調了公司“集成解決方案”在這個時代的競爭力。三星電子正加強GAA(Gate-All-Around)工藝和2.5D封裝技術的競爭力,以實現低功耗、高性能的半導體。三星表示:“許多公司單獨提供具有競爭力的高帶寬內存技術和 2.5D 封裝,但三星 AI 解決方案是唯一一家提供集成 AI 解決方案的公司。”“當技術得到優化和集成時,可以爲客戶提供最高價值。”

此外,三星的目標是到 2027 年將其潔淨室產能擴大至 2021 年的 7.3 倍。該公司表示,這將通過擴建其位於平澤的晶圓廠(這是迄今爲止最先進的設施)和正在德克薩斯州泰勒建造的新晶圓廠來實現。更多的潔淨室意味着它將有更多空間來執行來自客戶的更多訂單。

三星還宣佈成立多芯片集成聯盟,與其合作伙伴結成聯盟,應用新的芯片封裝技術。

英特爾和Rapidus虎視眈眈

除了三星以外,台積電面臨的競爭對手還有$英特爾 (INTC.US)$和日本新興的Rapidus。

例如,據國外媒體去年年底報道稱,Intel的CEO在接受採訪時就曾表示,自家的18A製程(1.8nm)比領先台積電N2,在這塊他們2年內沒有對手。報道稱,Intel的未來取決於重新獲得半導體制造領域的技術領先地位,這位CEO相信這將在兩年內實現。

在Intel的CEO看來,其對20A和18A充滿信心,主要是因爲它們採用了RibbonFET架構,即全柵極 (GAA) 晶體管和背面功率傳輸技術。這些技術對於製造2nm芯片的公司來說至關重要,可以在降低功率泄漏的同時實現更高的邏輯密度和時鐘速度。英特爾 18A 將於 2025 年上半年投入生產,產品也將在不久後上市。

英特爾表示, Intel 18A 工藝是公司的分水嶺,人們對其寄予厚望。將將使其多年來首次在性能上超越競爭對手,標誌着英特爾重返半導體工程的頂峯。

由日本政府和大型企業集團支持的半導體聯盟 Rapidus 計劃跨越幾代節點,在 2027 年開始 2nm 生產。該公司的目標是服務於世界領先的科技巨頭,挑戰台積電、IFS 和三星代工廠。

這項任務極具挑戰性,而且成本極高。現代製造技術的開發成本通常很高。爲了降低研發成本,Rapidus 與 IBM 合作,後者在晶體管結構和芯片材料等領域進行了廣泛的研究。但除了開發可行的 2nm 製造工藝外,Rapidus 還必須建造一個現代化的半導體制造工廠,這是一項昂貴的投資。Rapidus 自己預計,它將需要大約 350 億美元來在 2025 年啓動 2nm 芯片的試點生產,然後在 2027 年實現大批量生產。

爲了收回巨額的研發和工廠建設成本,Rapidus 需要大量生產 2nm 芯片。由於僅靠日本公司的需求可能不夠,Rapidus 正在尋求蘋果、谷歌和 Meta 等國際公司的訂單。

但短期看來,台積電沒對手。

編輯/emily

譯文內容由第三人軟體翻譯。


以上內容僅用作資訊或教育之目的,不構成與富途相關的任何投資建議。富途竭力但無法保證上述全部內容的真實性、準確性和原創性。
    搶先評論