share_log

IBM(IBM.US)造出首颗 2nm 芯片,台积电(TSM.US)、GAA工艺将受何种影响?

When IBM (IBM.US) makes the first 2nm chip, how will TSMC (TSM.US) and GAA process be affected?

智通財經 ·  May 8, 2021 16:41

This article comes fromWeChatOfficial account"AI Front" (ID:ai-front), author: Yipeng.

IBM (IBM.US) stands at the forefront of the world, the chip industry ushered in another milestone, Moore's Law was saved again, this is what happened to the global chip industry on May 6, 2021.

On the same day, IBM announced on its official website the launch of the world's first 2-nanometer chip technology, "opening up a new field for the semiconductor field."At present, only TSMC (TSM.US) and Samsung have achieved the research and development of 3nm process chips in the industry, and have not yet achieved mass production. 2nm chips are indeed the first in the industry. According to media disclosures, the transistor density of IBM 2nm chips can reach 333 million per square millimeter (MTr/mm2). At the same time, from the pictures disclosed on IBM's official website, the 2nm chip uses GAA (Gate-All-Around, surround gate transistor) process, which has laid a solid foundation for the follow-up popularity of this process.

Comparative reference of transistor density sorted by media

From 3nm to 2nm Magi GAA is the core of this event.

The successful development of 2nm chip means that all indicators will be greatly improved. "compared with today's state-of-the-art 7 nm node chips, it is expected to achieve 45 per cent performance improvement or 75 per cent reduction in energy consumption," IBM said. The announcement also posted a more specific comparison of potential performance advantages:

The battery life of mobile phones has tripled, requiring users to recharge the device only every four days.

Reduce the carbon footprint of data centers (total greenhouse gas emissions caused by individuals, events, organizations, services or products), which account for 1% of global energy use

Faster processing of applications and faster access to the Internet greatly enhance the functionality of laptops.

It is helpful for self-driving cars to speed up object detection and reduce reaction time. The above performance improvement and energy consumption reduction indicators are very important innovations and leaps for the entire semiconductor industry. However, compared with the industry, it is still a beautiful dream that cannot be achieved in a short period of time.

First of all, successful development does not mean success in mass production. Dr Shao Wei, a former senior product manager of the infrastructure business line of ARM and a former senior product manager of a large factory, said: "the issue of yield is not mentioned in the IBM (release) news, so when the whole industry can really use the 2nm process, we still need to wait for the news of mass production."

In addition, "the news also omitted the common customer endorsement link, so speculate that there are no customers for the time being." In the absence of customers, it is difficult to speculate how long it will take from development to mass production, "added Dr. Shao Wei.

Moreover, because of the special process of 2nm chip, the requirement of lithography machine is more stringent. It is reported that the new generation lithography machine of the Dutch company ASML is expected to be in production by 2025, and its NA value will rise to 0.5, which is likely to be enough to support the mass production of 2nm-supported chips. If the news is true, it means that the industry will not have the basic conditions for mass production of 2nm chips until 2025.

In contrast, the chip is more meaningful to the application practice of GAA process. What is GAA? Dr. Nerissa Draeger described it more succinctly in a previous interview: "the (GAA) around the gate transistor is an improved transistor structure in which all sides of the channel are in contact with the gate, so that continuous scaling can be achieved.

Corresponding to GAA is FinFET (fin field effect transistor), FinFET is the key process for chips to gradually move from 22nm to 7nm and 5nm. Professor Hu Zhengming, its inventor, is therefore called "the man who saved Moore's Law". But after entering the 7nm, FinFET approaches the limit, and the industry urgently needs new technology. At this point, GAA appeared.

Samsung thinks highly of GAA, but "Big Brother" TSMC strives for stability rather than speed. In August last year, TSMC said to the outside world that the company's 3nm would continue to use the previous FinFET, which also cast a shadow over the landing and popularity of GAA. The advent of the IBM 2nm GAA chip is tantamount to completely opening the path from 3nm to 2nm. Before that, people were still hesitant about whether GAA could replace FinFET?. Now, with the advent of 2nm chips, such questions have disappeared.

"this is a big step forward. For the semiconductor industry as a whole, it can be regarded as a double insurance for GAA technology. At least there are not many obstacles to the evolution of the process to 3nm/2nm, which is to be congratulated." Dr. Shao Wei said happily in the interview.

The battle among TSMC, Samsung and INTC.US

IBM itself does not have the conditions and ability to mass-produce chips, and its 2nm chips will be handed over to high-end chip contract manufacturers in the form of authorization. This is not a big deal originally, it is only a manifestation of the refinement of the division of labor in the industrial chain. But for TSMC, it's not that simple.

Zhang Zhongmou, founder of TSMC (TSMC), said at the 2021 Masters think Tank Forum that TSMC's biggest competitor is still Samsung. And the two sides are indeed at loggerheads in the position of 3nm chips. According to media reports, Samsung has announced an investment of more than $100 billion over the next 10 years, with the goal of taking the lead in chip manufacturing by 2025.

However, the reality is often not satisfactory. Although Samsung claims to have paid attention to GAA and put into research in 2002, there is still no exact time for mass production of its 3nm GAA chips. In contrast, TSMC, the 3nm FinFET chip is expected to achieve mass production by 2022, and the 2nm GAA chip is also under development. It seems that TSMC will remain the number one chip manufacturer in the world.

But at this time, IBM, which maintained a good cooperative relationship with Samsung, suddenly announced that the 2nm GAA chip had been successfully developed, reversing the situation and having an impact on TSMC's established plans.

In addition to Samsung, Intel is also likely to participate in contract manufacturing, and Intel's contract manufacturing business is already a "surprise", after Intel's new CEO, Pat Kissinger, claimed to surpass TSMC within two years.

The competition is fiercer and the situation is more complicated. This is the future market that TSMC faces.

Fortunately, TSMC's real lifeline lies in large orders from Apple and Qualcomm. As long as we do a good small step and fast run, we will not receive a big impact because of the emergence of IBM 2nm chips in the short term. "everyone (contract manufacturers and customers) will generally align their goals 3-5 years in advance. Apple will not mind the name 2nm/3nm, which is aligned with detailed parameters," Dr. Shao Wei told InfoQ."AAPL.US (Apple) that capacity demand, who took the order, have to processing plants, additional production lines, at least two years in advance."

For TSMC, the real battle may not be in the present, but in the next 3-5 years, it will be a tit-for-tat contest with "Challenger" Samsung.

(editor of Zhitong Finance and Economics: Wu Xiaowen)

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment