share_log

ALD拉动市场快速成长 国产龙头技术加速突破-半导体CVD设备行业专题:报告

ALD drives rapid market growth, leading domestic technology accelerates breakthroughs - Semiconductor CVD equipment industry topics: Report

国泰君安证券股份有限公司 ·  Jan 22, 2020 00:00

This report is read as follows:

Benefiting from the expansion of key internal asset lines and new breakthroughs in technology, the market demand for domestic CVD equipment will continue to increase.

Summary:

Investment advice. Benefiting from the expansion of the key internal asset line and the continuous breakthrough in technology, the market demand for domestic equipment will continue to increase. Recommend the equipment leader China Micro Corporation (688012.SH) and North Hua Chuang (002371.SZ). At the same time, cleaning equipment leader Shengmei Semiconductor (ACMR.O), Core Source Micro (688037.SH), Shenyang Tuojing, Huahai Qingke, Beijing Yitang and Shanghai Microelectronics also benefited from the industrial development.

CVD equipment includes atmospheric pressure chemical vapor deposition APCVD equipment, low pressure chemical vapor deposition LPCVD equipment, plasma chemical vapor deposition PECVD equipment, atomic layer deposition ALD equipment and MOCVD equipment. Among them, PECVD equipment is the most widely used type, accounting for about 37% of the market share, while ALD equipment is more suitable for the growth of ultra-thin films in complex surface shapes and high aspect ratio structures. MOCVD equipment belongs to pan-semiconductor equipment, which is mainly used to prepare gallium arsenide, gallium nitride and other compounds in the field of semiconductor optoelectronics and microelectronic devices.

The scale of the global CVD equipment market is growing, and the expansion of the internal asset line drives the demand for domestic equipment. According to Jibang Consulting, the global semiconductor CVD equipment market is about US $8.37 billion in 2017 and is expected to reach US $8.94 billion by 2023. Among them, the market demand for ALD equipment will increase significantly, reaching US $1.1 billion in 2017 and is expected to reach US $2.3 billion in 2023, accounting for 25% of the total market share of CVD equipment from 13% in 2017. With the continuous expansion of the internal asset line, the demand space for CVD equipment in the key internal asset line can reach 8 billion yuan in 2020.

Foreign manufacturers dominate the market, while domestic manufacturers accelerate breakthroughs. The CVD equipment market is mainly divided by foreign manufacturers such as AMAT, LAM and TEL, which account for about 70 per cent of the global market share. But domestic manufacturers also continue to achieve breakthroughs. In the field of LED chips, the MOCVD of medium and micro semiconductors has been replaced in China. North Huachuang has successively completed the development of PECVD, APCVD, LPCVD, ALD and other equipment, and its ALD equipment has entered the 28nm production line and verified in the mainstream contract factory 14nm production line. Shenyang Tuojing has three complete series of products: 12-inch PECVD, ALD and 3D NAND PECVD (special PECVD equipment for 3D structure flash memory). At present, it has won the bid for Changjiang Storage andHua HongThe total number of CVD equipment in the production line is about 10.

Risk hint. The risk that the new product development and verification progress of the equipment company is not as expected; the risk that the capital expenditure of the downstream production line is not as expected; the risk of uncertainty of Sino-US trade friction.

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment