share_log

台积电又赢了?3nm争夺战三星已露败相 良率低成最大痛点

Taiwan Semiconductor wins again? Samsung's defeat in the battle for 3nm is becoming apparent, with low yield being the biggest pain point.

cls.cn ·  Jun 19 13:00

Nvidia, AMD, Intel, Qualcomm, MediaTek, Apple, and Google have all decided to prioritize Taiwan Semiconductor as their 3nm process wafer foundry partner. Samsung's biggest problem with the 3nm process is lower yields and poorer power control compared to Taiwan Semiconductor.

"Star Daily" June 19th news (Editor Zhu Ling) With major manufacturers planning to widely adopt the 3nm process this year, a competition for wafer foundry technology and market share is quietly unfolding. In this 3nm battle, TSMC seems to be gradually taking the upper hand, while Samsung is facing a series of technical and market challenges. By statistics, many customers, including Nvidia, AMD, Intel, Qualcomm, MediaTek, Apple, and Google, have internally decided to prioritize TSMC as their 3nm process wafer foundry partner. Meanwhile, Korean media ChosunBiz quoted analysts as saying that Samsung's 3nm process biggest problem lies in yield and power consumption control, which is 10-20% lower than TSMC's. This may cause Samsung to miss the first-mover advantage in advanced processes in AI era. Though Samsung announced the mass production of 3nm GAA process in June 2022, the yield and power consumption control performance of its first-generation 3nm process did not meet expectations. This led to only one Chinese virtual currency mining chip company, Panxing Semiconductor, adopting it in addition to Samsung's own LSI business unit. This further consolidates TSMC's position in the wafer foundry market. The latest research data shows that TSMC's market share reached 61% in the first quarter of 2024, while Samsung only occupied 11%. Moreover, Samsung's low yield of 3nm process also led to the lower-than-expected yield of its self-developed Exynos 2500 processor, thereby affecting its position in the mobile chip market. This may also provide an opportunity for competitors like Qualcomm and MediaTek to expand their market share, especially for MediaTek, a chip manufacturer that has been supplying low- to mid-end models for a long time and has always hoped to break into higher-end areas. However, at last week's Samsung Foundry Forum, Samsung also showed a catching-up trend. Samsung stated that its GAA (Gate All Around) technology is making progress in yield and performance. Leveraging accumulated GAA technology production experience, Samsung plans to mass produce the second generation 3nm process SF3 in the second half of this year and adopt GAA technology on the upcoming 2nm process. Samsung reaffirmed its long-term plan to begin mass-producing 2nm process chips for the mobile field in 2025, expand the production field to supercomputers and HPC chips in 2026, and expand to automotive chips in 2027. Samsung also confirmed that they plan to begin mass-producing 1.4nm process chips in 2027."

According to the Taiwan Electronic Times citing industry sources, TSMC has obtained the 3nm chip order for Intel's upcoming notebook processor series, and wafer production has begun.

Qualcomm and Google, who originally had a close partnership with Samsung, have also begun to turn to TSMC. It is predicted that Qualcomm will entrust TSMC with the first batch of wafer foundry orders for its new generation of chips, and Google plans to entrust TSMC with wafer foundry from the fifth-generation Tensor processor.

By statistics, many customers, including Nvidia, AMD, Intel, Qualcomm, MediaTek, Apple, and Google, have internally decided to prioritize TSMC as their 3nm process wafer foundry partner.

Korean media ChosunBiz quoted analysts as saying that Samsung's 3nm process biggest problem lies in yield and power consumption control, which is 10-20% lower than TSMC's. This may cause Samsung to miss the first-mover advantage in advanced processes in AI era.

Though Samsung announced the mass production of 3nm GAA process in June 2022, the yield and power consumption control performance of its first-generation 3nm process did not meet expectations. This led to only one Chinese virtual currency mining chip company, Panxing Semiconductor, adopting it in addition to Samsung's own LSI business unit.

The latest research data shows that TSMC's market share reached 61% in the first quarter of 2024, while Samsung only occupied 11%.

Moreover, Samsung's low yield of 3nm process also led to the lower-than-expected yield of its self-developed Exynos 2500 processor, thereby affecting its position in the mobile chip market.

This may also provide an opportunity for competitors like Qualcomm and MediaTek to expand their market share, especially for MediaTek, a chip manufacturer that has been supplying low- to mid-end models for a long time and has always hoped to break into higher-end areas.

However, at last week's Samsung Foundry Forum, Samsung also showed a catching-up trend. Samsung stated that its GAA (Gate All Around) technology is making progress in yield and performance. Leveraging accumulated GAA technology production experience, Samsung plans to mass produce the second generation 3nm process SF3 in the second half of this year and adopt GAA technology on the upcoming 2nm process.

Samsung reaffirmed its long-term plan to begin mass-producing 2nm process chips for the mobile field in 2025, expand the production field to supercomputers and HPC chips in 2026, and expand to automotive chips in 2027. Samsung also confirmed that they plan to begin mass-producing 1.4nm process chips in 2027.

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment