share_log

周末读物 | 制造光刻机40年,一本新书重新发现了ASML成功的秘密

Weekend Reading | Manufacturing lithography for 40 years, a new book rediscovers the secret to ASML's success.

晚點LatePost ·  Jun 15 13:52

Source: LatePost.

Founder Wim Troost passed away last week, he made lithography the most expensive luxury goods in Europe.

There is a saying by the Chairman of BYD, Wang Chuanfu, circulating in the industry about whether latecomers can produce lithography machines and advanced chips: "These are man-made, not god-made."

The people who are most supportive of Wang Chuanfu may include ASML employees. Marc Hijink, a Dutch journalist who has been tracking ASML for over ten years, told us, "When I asked this question at ASML, they always said that the forces of nature are the same in Beijing and the Netherlands. No physical law prevents others from making similar machines."$ASML Holding (ASML.US)$Currently, 90% of the global sales of lithography machines are from ASML. Its exclusive EUV lithography machine is virtually the only option for producing advanced chips, with a price of two to three hundred million dollars, making it one of the most expensive luxury goods in Europe. ASML's market cap surpassed that of luxury goods giant LVMH for the first time this year.

Last week, Wim Troost, the founder of ASML, passed away at the age of 98. Forty years ago, it was thanks to Troost's tireless efforts that Philips did not completely shut down its unprofitable lithography business, but instead allowed them to establish an independent company. When ASML was founded, Troost was already nearing the age of 60. The first batch of employees returned to work after Philips' promise failed, joining the new company only reluctantly. This is not the kind of entrepreneurial team that venture capitalists are interested in today.

After many years of interviews, Hijink believes that in the end, it was a daring spirit and extreme focus that brought ASML to where it is today. "If something hasn't been proven to be impossible, they'll think they might be able to do it," he said in our video interview.

At the end of last year, Hijink's new book, "Focus: The ASML Way" (no official Chinese name yet, will be released in October this year), was published in Dutch. Previously, the ASML biography, "Giant Lithography Machine," only covered the period until 1996, when ASML was not yet the only choice for cutting-edge chips.

Hijink's "Focus" completes ASML's growth story after the millennium, with a more holistic perspective supported by management, showing how ASML has developed from a small company with an uncertain future that chose the most difficult technological path and remained focused on one goal for 40 years, to become the dominant player in the industry: a manufacturer of the most cutting-edge technology of our day, producing machines that can engrave electronic components on silicon wafers that are only a fraction of the size of the coronavirus.

Choosing the most difficult path for a company that could go bankrupt just by buying a cup of coffee

In April 1984, 47 employees moved from Philips' concrete headquarters building to a temporary shed next to the garbage dump that leaked when it rained. ASML was established.

That same year, when Apple released the Mac computer, computer CPUs had become too complex to be machined. Intel's factories needed lithography machines to direct a fine of light through a thin film and project the microscopic circuit pattern onto the silicon wafer.

The imaging principle of ASML lithography machines: through the projection of a beam of light, passing through a mask with a circuit diagram and an optical lens, the circuit diagram is exposed onto a silicon wafer with a photoresist.

At that time, Philips had been investing in lithography machine research and development for more than ten years, inventing the leading alignment system and unique electric wafer stage in the industry, but it was not competitive in fierce competition with ten other manufacturers and never received any orders.

At the time, Philips was not making much money from lithography machines, and the global impact of chips was just beginning. It is difficult to say whether Troost saw further than group management or even the entire industry. But perhaps it was some intuition, a little stubbornness and enough power of persuasion that allowed him to almost single-handedly save this cutting-edge project that was on the brink of collapse.

At that time, Philips CEO was very clear that all "unprofitable hobbies", including lithography machines, should be ended as soon as possible, either by selling or forming a joint venture, while Japan's home appliances were sweeping the European and American markets.

Special Troost, then a director of Philips's Science and Industry Department (S & I), was one of the few people in the company who supported the development of lithography machines. Some of S & I's projects had brought in very substantial profits for Philips, making the department relatively independent financially. Philips did not want to continue to invest, and Troost had to bypass senior management and use the department's small kitty to support lithography machine development.

Most of ASML's first employees were reluctant to work for the new company that Philips had split off, and which was likely to go bankrupt, until they were promised a generous retirement plan by the Philips union and the possibility of returning to Philips after a few years.

Lithography machines were not particularly profitable at the time, and the impact of chips on the world had only just begun. It's hard to say whether Troost saw further than the top management of the group and even the entire industry, but perhaps it was some intuition, a little stubbornness, and enough persuasiveness that allowed him to almost single-handedly save this cutting-edge project that was on the brink of collapse.

After Trost, who had no experience in chip projects, took over the lithography project, he quickly began looking for joint venture partners. After negotiations with American counterparts Cobilt, Perkin-Elmer, and Varian all failed, he cooperated with Dutch chip equipment company ASM International, which was also little-known at the time. According to the joint venture agreement, Royal Philips and ASM International each invested $2.1 million with a 50:50 equity ratio.

In those days, Royal Philips, with annual revenue of more than $14 billion and R&D investment of more than $1.2 billion, only wanted to receive $300,000 in cash for the joint venture project, and the remaining amount could be paid by 17 unsold PAS 2000 machines. Trost estimated that even with the machines being used for payment, $4.2 million would not cover 1/10 of the cost of developing new machines. Therefore, his S&I department still had to bear most of the initial purchase cost of the new company.

Saving the project was just the beginning. The new company had no orders, zero market share, and even an unappealing name. Initially, it was abbreviated as ALS, which is also the acronym for Amyotrophic Lateral Sclerosis. The management didn't wake up until 1996 when they changed the company name to ASML.

ASML faced cyclical downturns in the industry at the beginning of its entrepreneurship, which helped it instead. In 1985, the global chip market was sluggish, and chip manufacturers cut equipment procurement orders. This posed inventory and cash flow challenges for top lithography machine manufacturers, who needed to purchase and produce several quarters in advance, and they had to cut back or even suspend new product R&D investment. ASML had no orders or a large team burden, which allowed it to seize opportunities in fierce competition markets. Hikink said that the economic crisis helped ASML open a gap in the market, and the culture of "nothing to lose" made them more courageous in facing risks.

ASML's first CEO, Gjalt Smit, knew that chip manufacturers wanted to start producing very large scale integrated circuits (VLSI) in two years, which required lithography machines that could project light with an accuracy of about one micron, which exceeded the limit of all lithography machines at that time. If ASML could make such a revolutionary new product within two years, it could seize new chip cycles. They took the most difficult road without sufficient funds, time, or reputation.

To quickly assemble a complete set of Lego with thousands of parts, it is best to find a few friends to assemble it together. A similar approach was used by ASML to improve the efficiency of lithography machine development. From the design stage, engineers disassembled the lithography machine into 5 modules and developed them in parallel by different professional teams. Finally, the independent modules were assembled into a complete system.

However, lithography machines will only expose problems after they are fully assembled, and each module needs to be tested one by one to identify the causes. This process is very time-consuming. Project leader Richard George came up with a solution: developing 5 prototype machines, and each team independently tested different modules, further reducing the testing and assembly cycle from two and a half years to six months.

Eventually, ASML made the PAS 2500 lithography machine, also the world's first modularized lithography machine. Thanks to the modular design, engineers can quickly close or replace lenses, light sources, and other important modules during installation and use, saving a lot of money for wafer factories. This flexibility allowed PAS 2500 to work continuously for 7*24 hours on some wafer production lines more than 30 years after it was released.

ASML faced another challenge because it lacked advanced production processes. Competitors Nikon and Canon had top-notch optical lens production lines at the time. Alone, ASML couldn't produce such complex machines as lithography machines. It had to assemble all brains, funds, and dexterity.

Smit loved aviation. He majored in aerospace engineering in college, and one of his hobbies was flying gliders. He also worked for NASA and the European Space Agency. He thought the company could learn from aircraft manufacturers and outsource the production of parts, focusing all their energy on designing complex machines.

However, ASML did not have any orders at the time, and even required the highest specifications for its processes. Many parts even needed to be customized, and suppliers were difficult to convince. For example, cooperation between ASML and German lens manufacturer Zeiss was very rocky; Zeiss, who was already supplying for the top lithography machine company GCA at the time, didn't think much of ASML.

It was because of the downturn in the chip market that other customers canceled their orders, giving ASML the opportunity to gain a share of Zeiss' production capacity. During their cooperation, ASML helped Zeiss discover lens drift issues, and thus opened the door for later cooperation. In 2007, the two signed a new contract to share risks and profits. As the chip market often experiences severe turmoil, ASML agreed to prepay one-third of the lens deposit, reducing the risk of Zeiss' early purchase of raw materials.

Since the shipment of lithography machines is small, each of ASML's core components can only be selected by one supplier, and a dual-supply agreement binds both parties' interests. This cooperation has been maintained to this day.

As of 2023, ASML had registered more than 16,000 patents, many of which were developed in cooperation with its 5,100 suppliers. Zeiss is the most important partner among them. Zeiss' former CEO, Hermann Gerlinger, described the two companies as the ultimate combination of machinery and optics. "ASML told us all their secrets, and we shared everything with them."

ASML made its first profit and led the industry for the first time by finding large customers with a consistent style.

ASML did not have advanced production processes, which was a problem because competitors Nikon and Canon both had top optical lens production lines. They had to rely on all their intelligence, funds, and dexterity. This posed a significant challenge for them.

ASML's lithography machine can produce 200 to 300 wafers per hour. The terminal product value of each wafer cut into chips may be worth hundreds of thousands of dollars, which is more efficient than a printing press. As long as the lithography machine can help chip manufacturers save the cost of producing chips, no matter how expensive it is, there are customers willing to buy it.

By 2023, ASML's gross margin and net margin will both exceed 51% and 28%, respectively, higher than Apple and Ferrari, which sell high-end consumer products during the same period. Industrial equipment companies do not have much brand premium. Such exaggerated profit margins can only come from absolute technological leadership.

But this profit is based on absolute technological leadership and market share. If it cannot be achieved, lithography machines may also be a loss-making business. Until the late 1980s, ASML was still a loss-making company. Even 20 years ago, it could not be considered a technology giant, and its market value was only about one thousandth of today's.

When the company was still very small, Smits could only look for demand and order from customers. At the 1985 SEMICON West, ASML printed brochures, picking the benchmark products of its strongest opponents, GCA and Nikon, which it only had advantages in.

During the exhibition, ASML's brochure emphasized that its products had better efficiency and precision, but did not mention the lack of competitiveness of the lens.

At the banquet of the exhibition, Jerry Sanders, the founder of AMD, complained that the quality and service of American chip equipment manufacturers were too poor, so AMD had to purchase equipment from Japanese companies. After Smits learned about this, he immediately went to the United States to discuss countermeasures with the marketing experts he hired.

Soon, an advertisement entitled 'We Hear You, Jerry' appeared in news media and industry journals, claiming that ASML's lithography machine could meet AMD's reliability requirements. They would find and improve problems with customers in the factory after delivery. This advertisement did not immediately help ASML to obtain AMD's order. But relying on more in-depth services, ASML knocked on AMD's door and laid a foundation for subsequent cooperation.

Usually, maintaining a good lithography machine can ensure normal operation for 98%-99% of the time. Most failures come from humans-such as loose cables and bolts, fingerprints and scratches on the lens. However, lithography machines are very complicated, and chip production lines cannot stop for a moment. Any small problem will have an impact.

One of Intel's chip factories used to have several hours of reduced yield every night. Engineers who searched for the cause found that the problem came from the cow fart near the factory: from 1 am to 2 am every day, the wind direction would change, and the methane emitted by the cows would enter the clean room through the air purifier and pollute the chip production. Intel finally had to spend money to ask the ranch to move away. Later, each wafer factory had one more thing to note when selecting a site-try to avoid cattle farms.

The company that cooperates most with ASML in terms of scale and process promotion of chip manufacturing is a company three years younger than ASML.

In 1987, Zhang Zhongmou founded Taiwan Semiconductor and hoped to cooperate with an experienced chip manufacturer, but Japanese manufacturers were not interested, and negotiations with Intel and Texas Instruments fell through. Finally, Zhang Zhongmou contacted Royal Philips, which agreed to exchange chip production technology for 27.5% of Taiwan Semiconductor shares. This equity relationship also allowed ASML to smoothly become a supplier of Taiwan Semiconductor.

Taiwan Semiconductor and ASML are very similar. They both invest in cutting-edge technologies in a more focused way than their competitors and are equally fast and chaotic in responding to challenges. Before Taiwan Semiconductor, no chip maker that only did contract manufacturing services existed. Chip giants like Intel designed chips and built factories to manufacture chips. ASML and Taiwan Semiconductor engineers often study and solve problems together. Often in the development and testing phase of new machines, ASML has gained valuable feedback from Taiwan Semiconductor engineers.

Soon, Taiwan Semiconductor helped ASML make its first profit-although only temporarily. At the end of 1988, a fire engulfed Taiwan Semiconductor's chip factory, and dozens of lithography machines were damaged. Taiwan Semiconductor urgently ordered 17 new machines from ASML. This accidental order helped ASML out of financial difficulties in the early days.

In the late 1990s, the transistor architecture continued to iterate, but the wavelength of the lithography machine's light source was stuck at 193 nanometers, and it could not carve finer circuits. At that time, the mainstream solution in the industry was to try to shorten the wavelength of the light source to 157 nanometers. Nikon and SVG invested heavily in this.

In 2002, Lin Benjian, then deputy manager of research and development at Taiwan Semiconductor, proposed at a technical seminar that instead of replacing the light source, just adding a layer of water between the lens and the photoresist can achieve a shorter wavelength effect through the refraction of light, and the resolution improvement effect is almost twice as much as the expensive 157-nanometer solution. This clever solution is called immersion lithography.

However, at that time, mainstream lithography manufacturers had already invested billions of dollars in 157-nanometer technology and were unwilling to accept a new and adjusted solution. Even some executives from major manufacturers approached TSMC's former Chief Operating Officer, Chang Shang-yi, hoping that he would "manage his subordinates and not stir up trouble".

ASML, which also invested hundreds of millions of dollars in the development of 157-nanometer technology, was an exception. It stopped losses and chose a better immersion route. By 2004, when Nikon finally developed a prototype of its 157-nanometer dry lithography machine, the immersion lithography machine developed by ASML and TSMC had already matured significantly.

ASML's other important invention during this period, the TwinScan double-scan working platform, also garnered huge success. In order to ensure layer alignment, wafers had to be measured before exposure. TwinScan was the first lithography system with a dual-wafer working platform. While one wafer was being exposed on one platform, the other wafer was aligned and measured on the other platform, and then the two platforms switched positions. The wafer originally on the second platform continued exposure, while the platform of the first wafer was replaced with the next wafer for alignment and measurement.

This parallel solution greatly improves the production efficiency of lithography machines, and is very compatible with immersion lithography. If traditional single-platform solutions were still used, the original measurement system would be unable to function properly due to the interference of the water, but TwinScan separates measurement and exposure, perfectly avoiding this problem.

Immersion lithography and TwinScan allowed ASML to gradually surpass Nikon. Before the advent of these two technologies, ASML's market share was less than 10%, but by 2007, ASML had taken nearly 60% of the market share.

Pursuing a single goal without consideration of cost, and becoming the most difficult to replace company.

In the first quarter of 2023, Berkshire Hathaway, which followed long-termism, sold its TSMC stocks, which it had only held for a few months. Vice Chairman Charlie Munger later explained that TSMC is a good company, but he doesn't like the chip business because "the chip is constantly iterating, and every upgrade requires the manufacturer to invest all the money earned from the last round".

ASML's current monopoly position is built on a key technology that took 20 years and tens of billions of dollars to develop - EUV (extreme ultraviolet) lithography.

At the end of the 1990s, when the chip industry was searching for the next generation of lithography solutions, extreme ultraviolet light (EUV) with a wavelength of only 13.5 nanometers was also a potential option. However, obtaining EUV light was too difficult because it could not pass through any object, including air; the closest EUV light to us in nature is in the sun. Lithography machine manufacturers had to produce their own EUV light and accurately guide it into the lithography machine.

Japanese companies were the first to start relevant research, and American and Dutch companies quickly followed. In 1997, Intel and the US Department of Energy realized how difficult it was to obtain the next-generation light source and jointly established the EUV LLC alliance, bringing in then-phone giant Motorola, AMD, and the three major US national labs in an effort to tackle the problem of EUV.

Several leading lithography machine manufacturers were also invited by Intel. However, the US government was concerned about technology leaks and excluded Nikon and Canon. Through investment commitments to the United States, ASML squeezed into the EUV LLC alliance with Intel's help. This gave it a chance to buy the US company SVG, which owned EUV and reflection mirror technology patents, for $1.6 billion.

This collaboration and the SVG transaction laid the groundwork for ASML's EUV research and development.

Since EUV light cannot pass through any object, all lenses in the lithography machine must be replaced with reflection mirrors, and they can only operate in a vacuum environment. For this reason, ASML and Zeiss spent a huge sum of money to establish the world's largest vacuum chamber laboratory to simulate the problems that may occur in a vacuum environment.

The manufacturing process of reflection mirror films is also very complicated, requiring coating technology to stack dozens of nanometer layers of different materials to enhance the reflectivity of the mirrors. The surface of each layer cannot rise more than 0.01 nanometers, and the imaging accuracy is equivalent to shining a flashlight at the moon; the resulting spot cannot be larger than a coin.

Even so, after multiple reflections, the energy of EUV light is lost to only 1% of its original value, and the first EUV verification machine was only able to expose 30 wafers per hour. To increase production capacity, the light source power must be enhanced.

Light source supplier Cymer (another US company with EUV patents) was somewhat reserved about the technology, which was extremely difficult and had only one customer, ASML. After years of delays, in 2012, ASML acquired Cymer for $1.95 billion in a move that lost its patience.

To raise funds for the acquisition and additional R&D costs, ASML took another big gamble and exchanged 23% of its company stock for €5.3 billion from three major customers, Intel, TSMC, and Samsung - more than its annual sales at the time. If R&D failed, it would suffer a huge loss.

After taking over Cymer, ASML significantly improved its work efficiency while continuing to invest heavily in personnel and capital, finally raising the power of the EUV light source to commercial standards.

During this period, even TSMC, which has always cooperated closely, announced that it would give up on EUV and turn to another manufacturer, Mapper, which uses electron beam technology. ASML had to visit Apple, which was negotiating with TSMC, and persuade the latter to purchase EUV.

ASML, which focuses on precision lithography equipment, Taiwan Semiconductor, which firmly promotes chip manufacturing processes, and Apple, which firmly upgrades processors every year to pursue competitive advantages, have become a perfect combination. Each year, these three companies invest hundreds of billions of dollars paid by iPhone users in cutting-edge chip manufacturing technology.

In this collaboration, ASML has completely monopolized the global lithography machine market with EUV, leaving only relatively low-end and niche areas for Nikon and Canon. Apple, Taiwan Semiconductor, and ASML also form a benign profit cycle through advanced chips produced by EUV technology.

ASML, as well as Taiwan Semiconductor or Nvidia, has the common point of being focused and unreservedly investing in the most advanced technology, while also being in the computer chip industry - a rare industry that has existed for about 80 years and advances every year. As computers move human work and life into virtual space bit by bit, huge profits are generated, which drives chip companies to continue to invest in improving technology.

About 15 years ago, Nvidia CEO Huang Renxun said on a TV show that it was not enough to just run fast. Only when the runway is long enough, preferably winding, is running fast a good strategy. In some other industries, without such room for progress and business cycles, continuous investment in technology may not be able to escape from homogenized competition.

ASML caught up with such a runway, invested for 40 years without much reason with confidence, in a once open, free, fully competitive, globalized market, bringing together the brainpower, funds, and nimble hands of many suppliers and customers, as well as some luck, to arrive where it is today.

This is a bit like the summary of Vertex Pharmaceuticals, a black horse in the pharmaceutical industry, in Billion Dollar Molecule by Barry Werth. People often think that scientific breakthroughs are very dramatic, but in most cases, it is quite the opposite - breakthroughs are achieved only because of some adjustments, some small things, and a frustrated scientist persevering with a hint of data. It all starts with that moment of choosing a reagent, an experiment, a method, that tiny but crucial node.

Editor/Somer

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment