share_log

阿斯麦光刻机迈向“high-NA ”时代!台积电与三星即将采购新款EUV

Asml Holding heads towards the "high-NA" era with lithography! Taiwan Semiconductor and Samsung are about to purchase the new EUV equipment.

Zhitong Finance ·  Jun 5 21:24

Source: Zhitong Finance "Since 1950, the S&P 500 index has risen more than 10% 21 times as of the end of May. In about 90% of these cases, the S&P 500 index rose for the rest of the year. There were only two instances of declines for the rest of the year, in 1987 (-13%) and 1986 (-0.1%)." With the rebound of the stock market, the old adage "Sell in May and Go Away" seems to have been a bad advice once again. Last month, the S&P 500 index rose 4.8%, the best May performance since 2009. The NASDAQ 100 index rose nearly 6.2%, and the NASDAQ Composite Index rose 6.9%. Goldman Sachs FICC & Equities Trading Division said: "History doesn't really support this saying. Don't sell, leave the market (go on vacation), and enjoy the good times." The rising trend is still to be continued? If history is any guide, it may indicate that the rise of the stock market is not over yet. Looking ahead to the rest of 2024, Scott Rubner, Managing Director of the Goldman Sachs Global Markets Division and tactical expert, pointed out the following historical background for investors. Rubner stated that the S&P 500 index has risen 10.7% year-to-date, and since 1950, the S&P 500 index has risen more than 10% 21 times as of the end of May. In about 90% of these cases, the S&P 500 index rose for the rest of the year. There were only two instances of declines for the rest of the year, in 1987 (-13%) and 1986 (-0.1%). "Since 1950, the median return of the last 7 months of each year (June 1 to December 31) is 5.4%. In the aforementioned 21 cases, the average performance of the last 7 months increased to 8.1%." Rubner added. Rubner also pointed out that the NASDAQ index has risen for 16 consecutive Julys, with an average return of about 4.64%.

Lithography giant ASML Holding is about to deliver its latest top-of-the-line chip-making equipment to the world's largest chip manufacturer, as well as Samsung Electronics.

The headquarters of the lithography giant is located in the Netherlands.$ASML Holding (ASML.US)$is about to deliver its latest top-of-the-line chip-making equipment to the world's largest chip manufacturer$Taiwan Semiconductor (TSM.US)$and $Samsung Electronics Co., Ltd. (SSNLF.US)$. According to a recent statement by an ASML spokesperson, ASML's top three customers - Taiwan Semiconductor, Intel, and Samsung Electronics, which contribute significant revenue to ASML, will receive its latest high-NA EUV lithography machines by the end of this year.

It is understood that Intel has ordered ASML's new EUV lithography machine, and ASML has already shipped the first global high-NA EUV lithography machine to a large chip manufacturing plant in Oregon, owned by Intel, at the end of December 2023.

It is currently unclear when ASML's largest EUV lithography machine customer, Taiwan Semiconductor, will officially receive these machines. A representative from the global top-level chip maker stated that the company is working closely with semiconductor equipment suppliers according to plan and declined to comment further.

It is worth noting that in mid-May, Kevin Zhang, Senior Vice President of Taiwan Semiconductor, stated that the cost of ASML's latest advanced chip manufacturing lithography machine is prohibitive. "This EUV lithography machine is very expensive," he said, referring to ASML's latest "high-NA" level extreme ultraviolet (EUV) lithography machine.

"I like the performance of the high-NA EUV, but I don't like its price tag. The use of ASML's new technology will depend on where it makes the most economic sense and the technological balance we can achieve," Kevin Zhang said at a technical seminar in Amsterdam. However, he refused to comment on when Taiwan Semiconductor might start ordering ASML's new high-NA EUV.

Following the news released by ASML's spokesperson, the company's stock price rose 3.5% to 903.70 euros on the Amsterdam stock exchange. The company's stock price has risen by about 33% in the Netherlands so far this year. In pre-market trading, ASML's share price rose more than 4%.

High-NA EUV is crucial for processes of 2nm and below.

ASML's "high-NA" level extreme ultraviolet (EUV) lithography machine system can imprint semiconductor lines of only 8 nanometers thick, which is 1.7 times smaller than the previous generation EUV machines. According to ASML's vision, this brand-new EUV machine will be used in the future to produce high-performance advanced process chips that provide powerful driving forces for the most advanced consumer electronics products, such as ChatGPT and AI smart phones.

However, the cost of this pinnacle of human technological achievement costs an astonishing 350 million euros (approximately 380 million US dollars) per machine and weighs as much as two Airbus A320 airplanes.

ASML, based in the Netherlands, is the world's largest manufacturer of lithography systems. The lithography equipment produced by ASML plays the most important role in the process of manufacturing chips. ASML is the only supplier of the most advanced extreme ultraviolet (EUV) lithography machines used by Taiwan Semiconductor, Samsung, and Intel to manufacture high-end process chips.

If chips are the "pearl" of modern human industry, then lithography machines are the tools necessary to produce this "pearl". Moreover, ASML is the only supplier of EUV lithography machines in the world that manufactures the most advanced process chips, such as 3nm, 5nm, and 7nm chips. Therefore, the demand for ASML's products from its largest customers, such as Taiwan Semiconductor, Intel, and Samsung, is a barometer of the health of the chip industry.

Intel has spent a huge amount of money purchasing this device in order to strive to achieve the most advanced chip manufacturing process route of 2nm and below, including 18A, 14A, and 10A, the advanced chip manufacturing process technology routes planned by Intel. "18A" and other chip manufacturing categories refer to Intel's planned 1.8nm level chips and Intel's 3D chiplet advanced packaging process roadmap.

For Intel and TSMC's 2nm and below node manufacturing technology under development, the ASML Holding high-NA EUV lithography machine is very important. Compared to ASML's current production of standard EUV lithography machines, the main difference lies in the use of a larger numerical aperture. The high-NA EUV technology uses a 0.55 NA lens, which can achieve a resolution of 8nm, while the standard EUV technology uses a 0.33 NA lens.

Therefore, this new NA technology can print smaller feature sizes on chips, which is crucial for the research and development of 2nm and below chip manufacturing technology. As for Nvidia's high-performance AI accelerators used in AI training/inference applications, the 2nm and below process is crucial for improving AI system computing power. Currently, Nvidia's H100/H200 AI GPUs mainly use TSMC's 4nm process technology, and the newly released Blackwell architecture AI GPU will use TSMC's 3nm process technology.

TSMC executives say that this new lithography machine is too expensive.

TSMC previously expressed concerns about the price of this new type of EUV. Kevin Zhang, senior vice president of TSMC, exclaimed in Amsterdam in May that the high-NA EUV price was too high. He also said at the time that TSMC's so-called A16 chip node technology (generally believed to be a 1.6nm chip process technology) will be launched by the end of 2026, and it may not be necessary to use ASML's new high-NA EUV, and some of TSMC's old EUV lithography equipment can continue to be used. 'I think at this point, our existing EUV capabilities should be able to support new processes,' Kevin Zhang said.

Nevertheless, TSMC has always been an active participant in the ASML Holding high-NA EUV project. Analysts from Jefferies, including Janardan Menon, predict that TSMC will use high-NA EUV on the A14 manufacturing node in 2028. After a conference call with ASML's chief financial officer Dassen, the analysts at the institution said that ASML still believes that the total revenue scale for 2025 may be in the upper half of the forecast range.

Jefferies analysts predict that ASML's average lithography machine order size may be around 5.7 billion euros in the remaining three quarters of this year. ASML's management outlook for the second quarter is a revenue forecast range of 5.7 billion to 6.2 billion euros. Jefferies analysts also predict that ASML's total revenue scale for 2025 will reach 40 billion euros.

Editor/ruby

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment