share_log

ASML的创业故事:光刻巨头的成长之路

ASML's Startup Story: The Growth Path of a Lithography Giant

半導體行業觀察 ·  Apr 4 10:09

Source: Content reprinted from ASML by Semiconductor Industry Watch (ID: icbank)

$ASML Holding (ASML.US)$ It was founded on April 1, 1984 and was then called ASM Lithography. This one is made of$Royal Philips (PHG.US)$The mission of the joint venture with ASM International is to commercialize the PAS 2000 wafer stepper developed by Philips.

The myth surrounding the founding of ASML paints a picture of a small, curious startup stuck in a sticky shed and trying to break into the booming semiconductor market. But how did we get to this point? Who were the early participants in ASM Lithography? Why are they teaming up to form this new company?

This story tells the story of how a small Philips project wandered on the edge of a rapidly growing semiconductor ecosystem, then found its place in the industry and Veldhoven, the Netherlands. The establishment of the company was the beginning of something new, and it was also a continuation of ten years of development.

1974 年,研究人员聚集在飞利浦研究院 NatLab 的 SIRE I 原型周围
Researchers gathered around the SIRE I prototype at Philips Research NatLab in 1974

01 Division of labor in the industry

In the 1960s and 1970s, chipmakers built most of the semiconductor value chain in-house — all the steps required to make a finished microchip. Then, in the late 70s and early 80s, semiconductor manufacturing technology grew rapidly in scale and complexity. This development is driving the division of labor in the semiconductor value chain. The semiconductor equipment industry emerged to provide ready-made cutting-edge solutions. With this ready-made capability, it no longer makes sense for chip makers and users to develop their own chip-making equipment.

Professional equipment vendors are particularly important for developing complex lithography systems for printing patterns on microchips. Improving these systems so they can print smaller features is key to driving Moore's Law. For chip makers, more transistors mean more computing power at a lower cost.

This shift in the semiconductor ecosystem is reflected within large diversified companies such as Philips, which require chips for various products and applications. Their in-house device development provides a high standard. However, Philips' internal organization did not support the agility, adaptability, and needs of maturing its lithography technology to the level of competition across the industry. Instead, big companies like Philips are divesting manufacturing equipment businesses to make money before these technologies become obsolete.

02 Prototype of PAS 2000

It was in this context that Philips began developing its own wafer stepper for patterning silicon wafers. The Silicon Repeater (SIRE) I and II prototypes were developed by Philips Research. The Philips Automated Stepper (PAS: Philips Automated Stepper) 2000 was born from these research prototypes.

1980年5月SIRE II原型机照片
May 1980 SIRE II prototype photo

At the Philips Research Center, the Philips department mainly focuses on basic research, and the wafer stepper is a bit abnormal. Market demand is increasingly driving enterprise research and development, and there are many applications of engineering manufacturing equipment. Since 1978, the Philips Science and Industry (S&I) division has promoted commercialization efforts under the name PAS2000.

After moving from the Philips Research Center to S&I, developing a wafer stepper became a truly interdisciplinary matter. Employees in both departments push for their own opinions and methods of work. This collaboration can clearly be viewed as a first step towards what is now called “systems engineering.”

Inside the giant Philips, the PAS 2000 project was just a very small activity. Despite this, it has experienced time pressure and increased costs. Faced with scarce resources, Wim Troost (Wim Troost), then Deputy Director of S&I, used his own discretionary budget to keep the project running. He also sought further support from the Philips board of directors and the Dutch government.

PAS 2000 的集成团队
PAS 2000's integration team

After S&I took over the project, Troost and others soon realized that external customer participation was essential to validate the technology. They are unable to manufacture the PAS 2000 for internal Philips use only. If they want to manufacture their own lithography systems, they must work closely with multiple customers to commercialize them.

03 Industrial policy, investors and entrepreneurs

Beginning in the late 1970s, Western European governments reshaped industrial policies under the direction of the European Commission. They focus on innovation and collaboration, targeting emerging industries, particularly the rapidly growing semiconductor and microelectronics industries. These policies are a new means of boosting economic activity and, if possible, reviving troubled groups of companies, many of which, including Philips, have begun to fall apart.

At the Commission's request, Philips and other companies expressed the importance of these industries to their respective governments. After receiving this news from Europe's largest electronics company, the Dutch government further understood the subject and provided a basis for their follow-up actions.

Rising costs, global competition, and technological advancements are forcing large enterprises to divest non-core businesses and refocus their business priorities. For Philips, one of these activities is their wafer stepper. Meanwhile, emerging venture capital firms and start-up-driven start-ups and large-scale enterprises provide a new paradigm for industrial growth.

04 Pressure to improve

In early 1982, Philips' lithography product ambitions faced major challenges. They need more engineering resources. Although they found IBM, an external customer for the evaluation tool, there was still great uncertainty about whether it would be delivered in time during the summer of 1982. Engineers at the Philips Research Center immediately lent a hand. S&I executives frequently visit The Hague and Brussels to seek additional funding from the Dutch government and the European Commission.

Under pressure from time, money, and the Dutch Ministry of Economy, Philips S&I began looking for a partner for its wafer stepper. This led to initial discussions with Cobilt, a company that makes mask aligners and tracks, followed by discussions with Perkin Elmer, the market leader in lithography.

Meanwhile, a tripartite dialogue began between the Dutch Ministry of Economic Affairs, Philips, and ASM International (ASM for short), a growing Dutch company. In the context of the European Commission's novel microelectronics program ESPRIT, chip companies have expressed concerns about their reliance on US devices. To meet the needs of the industry, the department and Arthur Del Prado himself proposed ASM as a potential solution.

As the founder and CEO of ASM, Del Prado embodies an emerging and popular entrepreneurial spirit. A pioneer in semiconductor devices, he first introduced silicon from Silicon Valley to Europe in 1958. He then made a splash with his initial public offering on NASDAQ in 1981, a first for a Dutch company. Del Prado's vision and perseverance propelled his company to fame by showcasing the transformative potential of tech startups.

This entrepreneur is interested in Philips. He realizes that large companies are increasingly cutting costs by divesting innovation. This makes their well-respected research lab a de facto “incubator.” As he said in February 1982, “I'm very skeptical about getting in touch with competitors in the field of lithography, working with big companies in this field so late... unless this happens with big companies like Philips...” Despite this, Del Prado expressed doubts about the willingness of Philips executives to collaborate on innovation.

A few months later, on October 7, 1982, Del Prado learned about the PAS 2000 project. He thinks partnering with Philips might be his ticket to the lucrative and prestigious lithography market. Shortly thereafter, the entrepreneur expressed interest in partnering with ASM. The Department shared Del Prado's views on the potential strategic benefits of this partnership for the Netherlands. This partnership is likely to boost Dutch capabilities in the field of microelectronics.

05 Seek partnerships

However, Philips believes ASM's status is too low, so it favors Perkin Elmer. Despite Del Prado's entrepreneurial spirit and success, Philips executives still see ASM as an upstart. Perkin Elmer is a large, diversified company with a large lithography tool installation base and is considered a stronger partner.

The interest is mutual. By the early 1980s, wafer steppers were able to provide more control over minimum feature sizes with acceptable productivity than Perkin Elmer's Micraligns. Amid increased competition, the American company sought to quickly strengthen its market position.

Perkin Elmer is considering partnering with Philips S&I or Liechtenstein based startup Censor. They prefer Philips because of the company's resources and the advanced nature of the PAS 2000. In the proposed joint venture, Perkin Elmer will take the lead role, responsible for sales and service. Philips will contribute technology and manufacturing capabilities.

However, Philips hesitates whether to grant access to its internal resources, such as the affiliated Philips Research Center. This hesitation made negotiations take too long, and eventually Perkin Elmer collaborated with Censor.

06 Project urgency

By 1983, the Philips S&I wafer stepper team comprised around 50 engineers and faced uncertainty. They will need around 120 million Dutch guilders (approximately €245 million at current pricing levels) to upgrade, produce and sell the machine. Despite Troost's best efforts and perseverance, including exploring with Varian and$Panasonic Holdings (6752.JP)$partnership, but the wafer stepper team was still too late. The urgency is obvious.

Encouraged by positive news about ASM's performance, S&I technical director Georg de Kruyff decided to resume discussions with Arthur del Prado in the spring of 1983. With nothing to lose, he and some colleagues visited ASM's headquarters in Bilthoven, the Netherlands. Del Prado was very keen on Philips technology and partnerships, and the two sides soon reached an agreement.

Adding lithography systems to its product portfolio will make ASM almost a one-stop shop for semiconductor equipment. This huge investment is almost equal to ASM's entire revenue in 1982. Unbeknownst to Philips executives, however, Del Prado is preparing to list more ASM shares on the NASDAQ before fall 1983, and funding won't be an issue.

07 The beginning of ASML

On September 5, 1983, Philips and ASM announced their intention to form a joint venture. Over the next few months, they refined the details of their partnership. Shares in the Ministry of Economy or its investment instruments were taken into account. Negotiations were held to enter the Philips Research Center. In-kind and cash contributions have been earmarked. A return on investment plan was drafted. An ambitious and visionary new general manager, Gjalt Smit, has taken office.

Importantly, the 50 current Philips S&I employees have received attractive terms of employment. But since they've been working at Philips their whole lives, they think the joint venture is a form of Philips asset divestment. People don't want to have confidence in a startup that doesn't have market access and critical technology must be redesigned. Despite this, the group still has 47 people signed up.

飞利浦与ASML签署合资公司
Philips signs joint venture with ASML

ASM Lithography commenced operations on April 1, 1984. It was originally located in the Philips S&I Strijp TQ building in Eindhoven. The novel company infused new resources and competitive methods to reinvigorate Philips' efforts to enter the lithography market.

ASM Lithography flew out of the starting blocks (ASM Lithography flew out of the starting blocks). The joint venture was driven by a combination of additional resources, a relatively high degree of autonomy, Philips' cutting-edge engineering practices, and inspiring technical and commercial challenges. It quickly added more employees, and the enthusiasm of the new employees soon spread to the original Wafer Stepping team.

A business plan was drafted to upgrade the PAS 2000's biggest drawback: its hydraulic wafer stage (hydraulic wafer stage). Although Troost dislikes the use of petroleum-based technology in semiconductor cleanrooms, he chose proven technology as early as 1980 over more innovative electrical stages. Even then, it was clear that PAS would eventually need to be modernized. Now is the time to make modernization a reality as soon as possible.

The startup quickly outgrew its facilities. By adding a few uncomfortable sheds, the problem was solved for the time being. Veldhoven was chosen as the permanent headquarters. A landmark building highlights the new company's image and commitment.

From the beginning, ASML has been seen as an expression of innovation-driven entrepreneurship and is expected to ensure the future economic viability of the Netherlands. Young companies embark on an uncertain yet hopeful future with impulse and enthusiasm.

Moving to Veldhoven may be the end of the story, but for ASML it's just the beginning. Early days in the Philips shed laid the foundation for ASML to grow into the company we know today. The team is creative and determined to pursue technological innovation and push the limits of what is possible.

08 Start humble

Based on research and development work since the early 1970s, we launched our first system in the same year: the PAS 2000 stepper.

We are growing rapidly, and Philips and ASMI have increased their investments to help make ASML successful. In 1985, we moved with 100 employees into our new office and factory in Veldhoven, just a few kilometers from Philips research laboratories. In 1986, we brought the PAS 2500 stepper to market, using new alignment technology, which will be the foundation for many future innovations in our machines. In the same year, we established an existing partnership with lens manufacturer Carl Zeiss.

ASML 在 Veldhoven 的第一座建筑
ASML's first building in Veldhoven

By 1988, after Philips established a joint venture foundry in Taiwan, we began entering the Asian market. In the US, our number of employees grew from a few to 84, spread across five locations. However, in a situation where the market is fiercely competitive and there are many suppliers, this small, unknown company from the Netherlands was unable to break through.

ASML has few clients and is unable to support themselves. To make matters worse, shareholder ASMI was unable to maintain a high level of investment, had little return, and decided to withdraw. The global electronics industry situation worsened, and Philips announced large-scale cost reduction plans.

The life and death of our young, cash-eating lithography company is in the balance. Driven by a firm belief in ongoing research and guidance on the urgent need for funding, ASML executives reached out to Philips board member Henk Bodt, who convinced his colleagues to lend a final helping hand.

09 From lending a helping hand to an initial public offering

This investment has been put to good use. During the year, we launched the groundbreaking platform PAS 5500. With its industry-leading productivity and resolution, the PAS 5500 has attracted the key customers ASML needs to be profitable. This is the first step towards maturity.

PAS 5500 平台的早期广告
Early advertising for the PAS 5500 platform

PAS 5500 is the platform where ASML became famous. Prior to launch, ASML ranked 3rd in the lithography market, behind the giants$Nikon (7731.JP)$und$Canon (7751.JP)$. However, the success of the PAS 5500 platform soon elevated ASML to second place and laid the foundation for its growth as a global leader in lithography machines.

The PAS 5500 is derived from a series of systems initiated by Philips researchers in the 1970s. The platform's name itself reflects its heritage and our long-standing partnership with Philips: the Philips Automatic Stepper (PAS).

A long-time ASML employee, Ted Shafer is now a mature product sales manager, and his entire career has been closely tied to this groundbreaking platform.

“I joined ASML the same year the PAS 5500 was launched, in 1991,” Ted recalled. “My first job was as an application engineer responsible for shipping the first-ever batch of PAS 5500s to IBM in Fishkill, USA. My role is to optimize system performance and help new systems in customer plants reach production-level maturity.”

The first PAS 5500 system and Ted's efforts to optimize it prompted IBM to launch the System/390 series, which, in their words, was “the most powerful computer IBM has ever offered.”

With these leading products, ASML became a fully independent listed company in 1995, listed on the Amsterdam and New York Stock Exchanges. Philips sold half of its shares in its initial public offering and sold the remaining shares over the next few years. The initial public offering brought capital to further drive our growth, and we expanded our R&D and production facility in Veldhoven De Run, which later became our new headquarters.

10 TWINSCAN and immersion technology paved the way

In 2001, we launched the TWINSCAN system and its revolutionary two-stage technology. These systems measure and align the next wafer while exposing another wafer, thereby maximizing the productivity and accuracy of the system, thereby increasing the customer's ownership value.

In the same year, we completed the acquisition of Silicon Valley Group to further enhance ASML's capabilities in advancing semiconductor technology. The TWINSCAN AT:1150i debuted in 2003 as the first immersion machine, followed by the TWINSCAN XT: 1250i, XT: 1400i, and the first mass-produced immersion machine, the XT: 1700i, was launched in 2006.

In 2007, we launched the TWINSCAN XT: 1900i immersion system with a numerical aperture of 1.35, which is the highest specification product in the industry. With this new technology, we enable customers to produce smaller chip features by projecting light from the water layer between the lens and the wafer.

In late 2007, we acquired BRION, a leading semiconductor design and manufacturing optimization solutions provider. This is the beginning of our “Holistic Lithography” strategy. We combine our knowledge of lithography systems with skills to optimize chip manufacturing processes before, during, and after lithography. Another key product in the early stages of our overall lithography strategy is YieldStar, our metrology system that provides real-time measurement and correction during chip manufacturing. The first YieldStar (250D) was delivered to customers in 2008.

11 Changing the times again with EUV

In 2010, we delivered the first extreme ultraviolet (EUV) lithography tool prototype (TWINSCAN NXE: 3100) to an Asian chipmaker's research facility, marking the beginning of a new era in lithography. EUV lithography uses shorter wavelengths of light to create smaller chip features, resulting in faster, more powerful chips.

In 2013, we acquired Cymer, a San Diego-based light source manufacturer, to accelerate the development of EUV. In the same year, we launched the second generation EUV system (NXE: 3300), followed by the third generation EUV system (NXE: 3350) in 2015. EUV lithography technology saw a turning point in 2016, when customers began ordering our first production-ready system, the NXE:3400. During this period, we continued to improve the performance of our immersion lithography systems, and the chip industry's mainstay NXT1970CI and NXT1980DI were installed in customer factories around the world.

In 2016, we acquired Hermes Microvision (HMI), a leading supplier of electron beam metrology tools, and expanded our overall lithography product portfolio. Thanks to our joint efforts, the Electron Beam Graphic Fidelity Measurement System (ePFM5) was first shipped in 2017.

Following the liquidation of Mapper, a high-tech company headquartered in Delft, the Netherlands, in 2018, ASML agreed to acquire the company's intellectual property assets.

At the beginning of 2020, EUV went into mass production, and we celebrated the delivery of our 100th EUV system. But 2020 was significant for another reason: the COVID-19 pandemic. Our teams around the world have proven their adaptability and ability to improvise through innovative new ways to remotely support customers, use their expertise to respond to the pandemic, and lend a hand to local communities.

In November 2020, the acquisition of Berliner Glas Group was completed, and we officially welcomed the Berliner Glas team to the ASML family. The group's technical glass division was divested to the Glas Trösch Group in April 2021.

In 2023, we launched our first next-generation EUV system with a numerical aperture of up to 0.55 (known as “high numerical aperture”). The platform is called EXE and has a novel optical design and a significantly faster platform.

Looking ahead, ASML will continue to do wonders.

Link to original text

https://www.asml.com/en/news/stories/2024/ASML-founding-story

https://www.asml.com/en/news/stories/2021/three-decades-of-pas-5500

https://www.asml.com/en/company/about-asml/history

edit/emily

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment