share_log

至暗时期如何不退反进?光刻机巨头阿斯麦的周期逆袭史

In the darkest period, how to advance instead of retreating? The cyclical counterattack history of lithography giant ASML Holding NV

聰明投資者 ·  May 21, 2022 22:01

Source: smart investors

Author: Matrix Partners

Editor's note:

The shift in the global pendulum, the intensification of geopolitical conflicts, the superimposition of epidemics and the effects of the economic / policy cycle are all very difficult times for companies.

Going through the cycle is not easy for any company.

Even the invisible champions of hard technology, or famous companies on some important tracks, have experienced difficult times.

If you carefully read the history of Asmai ASML, you will see countless desperate moments, as well as countless desperate moments.

It's hard, but there's always a way.

Matrix Partners reviews the history of ASML in this article. From an abandoned R & D project, which has repeatedly been on the brink of bankruptcy, it has now become the dominant lithography machine in the world.

How does it get through those desperate times? How to go through the cycle carefully?

"Lithography" as a winner-takes-all hard technology field, it shows too many elements of the development of technology companies-how to bet on the right technology path? Do you choose progressive innovation or subversive innovation? How to make the right strategic decision in a short time? How to exert the efficient executive power?

These are also the problems that hard-tech companies need to face today.

Take the ancient times as a mirror, we can know the rise and fall, although the success of many companies can not be replicated, but such stories can still give us inspiration and glimmer.

"they're not coming? They're not coming? They can't do that! "

Martin van den Brink, president and chief technology officer of ASML, almost smashed his phone.

In 1991, because of the outbreak of the Gulf War, many multinational companies banned senior executives from flying because of security concerns. "they" refers to IBM, and because of the ban, IBM executives are unable to negotiate the final contract with ASML.

But at this time, the financial situation of ASML is almost in ICU, and if it does not get the order from IBM, ASML will go bankrupt in 1991. ASML bet on all his wealth and worked frantically for several years on the order of IBM.

These efforts will come to naught because of a war that has nothing to do with them. ASML in 1991 is far from the ASML we see today.

Today, ASML is full of scenery. Lithography machine is called the pearl in the crown of modern industry, and it is the core equipment for making chips. Only a few companies in the world have such technology.

The biggest weakness in the Chinese chip industry is EUV lithography, while the Dutch company accounts for 80 per cent of the market for high-end lithography machines below 45nm, while ASML is the world's exclusive producer of EUV.But once the ASML, countless times on the edge of the broken capital chain, carefully through the economic cycle.Throughout, it is ASML's excellent grasp of the technology path and almost endless R & D investment.

From the launch of PAS 2500 to gain a firm foothold in the field of lithography, and then the improved PAS 5500 entered the top ranks, to the development of immersion lithography series in cooperation with Taiwan Semiconductor Manufacturing Co Ltd, establishing a dominant position in one fell swoop. Then in 2010 to launch the first EUV lithography machine prototype, and through epitaxial mergers and acquisitions to form an overall lithography product portfolio.

From the course of the development of ASML, we can see how much risk, determination and money it takes to become a strategic hard-tech company before it can grow up.We have studied the development history of ASML through books, brokerage research newspapers, media reports and other materials, and summed up some viewpoints combined with the logic of investment in hard technology. The following, Enjoy:

  • Economic crisis saved ASMLWe saw how ASML walked carefully through the economic cycle, and looking back, we were surprised to find that the economic crisis actually saved it

  • The hard Choice of hard Technology-- betting on improvement or subversionThe real milestone in the rise of ASML is to choose the right technology path, but sometimes success comes from incremental innovation, and sometimes from subversive innovation. The right choice soars into the sky and the wrong abyss. Let's take a look at the enlightenment of ASML.

  • The key turning point-- noble people help each other and make mistakes with the enemyIf an enterprise wants to succeed, it can not do without the help of allies and enemies to make mistakes. Taiwan Semiconductor Manufacturing Co Ltd is a dignitary of ASML. The immersion lithography technology promoted by the two families defeated the popular dry lithography technology at that time, which also stems from the mistakes of the enemy Nikon and Canon. When luck comes, how do you catch it and see what ASML does?

  • Cooperation can go further.Today's ASML 90% of the parts are actually outsourced, it is an integrator, behind the technical support of a number of companies and research institutes in the United States, Japan, Europe, Taiwan, and South Korea, and finally produce extremely complex EUV lithography machines. Cooperation and the formation of a community of interests is a long-term solution.

01 Economic crisis saved ASML

"it is typical of the Dutch to sit back and watch high-risk companies like ours fail quickly. If the lights in our office are on for 13 consecutive nights, the government labour inspector will ask to check our work permit.

But are we going to cede a key strategic industry to the United States and Japan?

Then I can only say that you can go milking cows, stirring butter and planting tulips happily in the future. "

Del Prado once said indignantly in an interview with the media. Del Prado, the founder of ASM, took over the lithography machine research and development team abandoned by Philips in 1984 and formed the joint venture ASML.

ASM makes chip production equipment, but Philips doesn't like ASM in terms of technology and scale, so when looking for a successor, it doesn't even give it a chance to negotiate. Del Prado is a tough guy. He almost eats, sleeps and breathes in ASM, showing his charm, ambition and fearlessness.

ASM has a thriving side, but also a quagmire side.

What is booming is that ASM is a bright pearl in the dismal economic picture of the Netherlands. It is transforming from an equipment distribution company to an independent equipment manufacturer, and its revenue is beginning to grow. But the side that is mired in the quagmire is years of losses, small scale, facing many technologically advanced competitors.

Prado has been using the idea that chips are a strategic industry to attract money from the Dutch government, but the government's patience is limited. Until 1983, Philips got frustrated with others, and after failing to negotiate with three companies, everyone was demoralized.

The successful listing of ASM on NASDAQ made Philips see that maybe ASM still has money.

After Philips executives once again made it clear that they must abandon non-core businesses such as lithography, they must seize the last opportunity to save the lithography team and prevent layoffs.

图片

Philips Lithography early products SiRe1; Photo Source: Lithography giant:ASML's rise

So, as the last straw, ASM, the talks began. The meeting lasted only one hour and 15 minutes.

"excuse me for a moment. After chatting for 15 minutes with Cruyff, head of the Philips lithography team, Prado went out of the room to discuss with the team.

Nearly an hour passed before he came back and said, "Let's do it together." The lithography business is in line with Prado's ambitions. He makes the machines needed for every process in the chip production process, but lacks the most strategic lithography machine.

But merging a lithography team is also a huge risk.

A year before the negotiations, ASM's revenue was only $37 million, but the R & D cost of the new generation of stepper lithography alone will be well over $50 million. And compared with the advanced technology needed by the lithography machine, the technology previously mastered by ASM is simply not worth mentioning.

When a small company cooperates with a giant, the voice often falls on who needs it more.

Advanced technology gives Philips a big say in the newly formed joint venture ASML, and in order to gain follow-up access to the Philips Natlab technology laboratory, ASM has to agree to split the stake with Philips in the new company.

Philips also wanted to save as much money as possible in the transaction, and the finance department drew up a detailed list of fees that the joint venture must pay, including the cost of parts and materials ordered for the manufacture of 20 stepper lithography machines."the new company will go bankrupt if it buys a cup of coffee."

This is the difficult history of the establishment of ASML, it is like a small boat that is still leaking all over the sailors, mending and setting sail.

This difficult start is only a drop in the bucket compared with the difficulties that ASML will face later. Since the establishment of ASML in 1984, it has suffered a long period of market recession for three consecutive years, and the growth of the industry has stagnated.

But scholars who study ASML have come up with the idea that the market crash turned out to be a godsend for the company.

Why did the economic crisis save ASML?

Renny Regimek, managing director of the Dutch Institute of Technology (HTI), and many early employees of ASML believe that the economic crisis hit the giants at the time, but miraculously gave ASML time to reshape its R & D and production departments.

Because ASML, which had just started at that time, took the wrong route of hydraulic technology, and the assembly plant was unable to produce really large orders at all. At that time, if the market for chip equipment was particularly good, and ASML could not sell lithography machines, then ASML would fail immediately.

On the other hand, because ASML was positioned as a lithography machine integrator, some parts had to rely on upstream manufacturers, such as lenses, which needed Zeiss production in Germany, but Zeiss's production capacity was also very poor at the time.

If the market grows at a high speed in 1984, Zeiss will not be able to meet the demand of GCA, the boss of lithography at that time, let alone provide enough supply to ASML.

Of course, these perceptions were summed up by the early management of ASML in the rearview mirror. But in the fall of 1987, no one could feel the miracle.

During the three years of economic recession, ASML, which has just started, is mainly practicing its internal skills, from a demoralized and abandoned team to a self-reliant development team.Logistics and mass production systems have also become mature, and sales and marketing have become an important force.

This difficult start has also laid a solid psychological foundation-- to survive the cycle.Many times later, when ASML was on the brink of bankruptcy, it was the same difficulty that ASML faced on the first day.

图片

Workers are assembling in the clean room; photo: Lithography giant:ASML's rise

02 hard-techHard choices-betsImprove or subvert

"when you sell 20 lithography machines, come back and talk to me. "

When ASML CEO Schmidt was hit hard at a world-class chip equipment show in California, he trumpeted that Philips's lithography project had come back from the dead, but received little feedback.

At that time, the lithography machine giants were GCA of the United States and the rising Nikon of Japan. The number of machines installed (the number of machines running in customer factories) was a key indicator of everyone's concern. GCA and Nikon had reached hundreds, while ASML was still zero.

This indicator is important because the lithography machine is so complex that lithography suppliers need to be equipped with a large number of service engineers to deal with emergencies.

Some minor factors will lead to problems with the lithography machine, and practical experience is very important. In despair, Schmidt returned to the Netherlands, where he was frustrated except for the vitality of the chip industry.

The desperate situation forced people to think, and when he looked back on everything he had seen during the whole trip, there seemed to be a glimmer of light in the darkness.

At that time, the entire chip industry was about to overcome a difficulty, which created opportunities for equipment manufacturers.

At the California show, everyone was talking about Moore's Law and the next generation of machines-from large-scale integrated circuits (LSI) to ultra-large-scale integrated circuits (VLSI).

It is clear that in the next few years, the chip circuit will be reduced to less than 1x1000 mm, and the lithography machine will no longer deal with 4-inch wafers, but 6-inch wafers.

With this shift, VLSI needs a new generation of lithography machines that can image 0.7 micron details onto wafers and achieve tighter microelectronic integration.

Of all the bad news, the only good news is that no one has found a way to make this kind of lithography machine.

The door is closed, but the window is open. Schmidt discussed with the team that if ASML succeeds in developing a new generation of lithography machines, he will take over the semiconductor industry.

Schmidt is so confident because the new generation of lithography machines must be greatly improved in almost every aspect of optics, alignment and positioning.

At that time, machines made by industry giants Canon, GCA, Nikon and Perkin-Elmer still used lead screws to move the wafer stage, which meant that their image details could not reach a positioning accuracy of less than 1 micron, which is the advantage of ASML technology.

Schmidt is also a visionary. He has studied the consolidation of the aviation industry before, and when he was still in college, there were 50 aircraft manufacturers in the world, and when he got his doctorate, there were only a few left.

He also witnessed technological changes in the telecommunications industry in his last job experience.

He knows that a new company has no opportunity in a mature market unless the new manufacturer chooses the right technological path.

图片

Help ASML gain a foothold in the lithography market; photo source: Lithography giant:ASML's rise

The technology path has profoundly affected the ups and downs of lithography companies, and we have summed up three important implications:

  • Early advantages may turn into obstacles

Inheriting Philips' lithography technology, ASML initially used oil pressure instead of electric power.

When Ed Bauer built the first stepper lithography machine at Philips in 1973, the oil-driven wafer table was well ahead of the times. At that time, oil pressure was an excellent technology, and it was difficult to give up if it was not challenged.

The oil pressure device provides a positioning system with high stability and precision, but it has a problem, that is, if the oil leaks, it will cause serious damage to the chip manufacturing process.

Under the pressure of 80 bar, even the slightest leak will spray the whole room with oil mist, and the pollution will bring chip production to a standstill for months. Oil is "poison" in the chip production process.

In addition, the oil system also produces a lot of noise, so the shell needs to be customized to reduce the noise. These problems lead to no customers for oil-driven lithography machines.

However, due to the inertia of technology dependence, Philips did not improve this problem until it spun off the lithography project.

When it comes to ASML, Schmidt still hopes to sell the hydraulic equipment to customers who want to try its advanced alignment system.

Of course, the result must be a failure.

Although ASML has a technical trump card-accurate engraving alignment technology, but because this technology is used in hydraulic-driven machines, no one buys it.

In the end, Schmidt decided to give up the oil pressure and switch to an electric wafer table, which meant more R & D funding, shorter R & D time, and a certain chance of failure, but also had to rise to the challenge.

  • The impact of incremental innovation may be beyond imagination.

In the 1980s, ASML was not the leading company in the field of lithography.

The boss at that time belonged to GCA of the United States. But GCA declined rapidly in the mid-1980s.

At that time, the ultimate factor leading to the failure of the GCA was mainly Zeiss's g-line lens, a serious light drift problem.

Everything was fine at first, but as the lithography machine ran longer, the image quality deteriorated.

Eager to deliver the lithography machine to customers, GCA does not inspect the lens before installing it on the machine, resulting in GCA delivering hundreds of lithography machines with faulty lenses that Zeiss knew nothing about for years and less than 10 per cent of the lenses were sent back for repair.

The bigger problem is that GCA's lithography machine cannot automatically correct such errors, and engineers do not know the exact cause of the problem.

At this time, a kind of gradual innovation appeared.

GCA's Japanese competitor (Nikon) managed to improve the focusing system of the lithography machine. Nikon in turn developed a g-line eyepiece with a large numerical aperture, a combination of Nikon's system that can image tiny patterns on a thin layer of photoresist more clearly. Nikon has gained a lot from this gradual innovation.

At that time, many manufacturers were investing in g-line technology to I-line technology innovation on a large scale. But customers are optimistic about Nikon's improvements because they only need to replace GCA's lithography machine instead of recreating an entirely new infrastructure. While making better chips, a lot of money has been saved.

Pay special attention to these factors during the technological transition, and Nikon's small innovation in g-line lenses is just one of them. As the lifespan of existing technologies is extended, the demand for expensive new technologies weakens, which means that timing is important.

  • Horse racing may be a good way to explore the fog of the technological path.

ASML will also face a choice of whether to gradually improve existing technologies or invest in new ones.

The ASML landmark lithography machine PAS 5500 was born in this choice.

Engineers not only have to do the physical design of the machine, they must also choose the technical path at the initial stage, and then expand the physical design. If the machine architecture is unreliable from the beginning, there will be trouble in all aspects in the future, and the problem will continue for many years.

For example, the accuracy of the wafer table is an uncertain factor.

At that time, ASML used H-shaped wafers with linear motors in its machines, but with the increasing demand for "alignment accuracy" in the market, it is difficult to say how long products with this technology path will survive in the market.

At this point, the question ahead is whether ASML should choose gradual improvement or radical innovation.

If you choose to improve gradually, this technology path is likely to eventually fail to meet the new needs of the market; another option is to use revolutionary long-stroke, short-stroke engines to seek breakthroughs, but R & D is risky.

Van den Brink, the chief architect of ASML PAS 5500, did not make a direct decision, and it was difficult for him to judge whether it was good or bad.

Because of the significance of this decision, he decided to experiment on the two roads for 6-9 months, with the two teams racing horses on their own technical paths.

Finally, the technical competition proved that the old H-shaped wafer had enough potential to locate the 8-inch wafer, so ASML chose this safe route. Long-and short-stroke engines are temporarily hidden, but they can also be used as an alternative for upgrading.

The PAS 5500 is a decisive product for ASML, and ASML pins all its hopes on it, and PAS 5500 does push ASML to the center of the lithography world. Therefore, in this important decision, it is cost-effective to spend more money on R & D, and the horse racing mechanism of the technical path is worth it.

After years of painstaking management, ASML has stepped into the ranks of giants in the era of step-by-step scanning lithography, and the market at that time formed three dominant situations: ASML, Nikon and Canon.

But the opportunity to make ASML really overtake the throne and overtake the other two comes from subversive innovation, an invention of Taiwan Semiconductor Manufacturing Co Ltd.

图片

03 the key turning point-- dignitaries help and make mistakes with the enemy

The reason why technical horse racing is important is to provide courageous judgment when the opportunity for subversive innovation comes.

The biggest corner overtaking of ASML occurs in the upgrade process from 193nm process to 157nm process.

In the past, the technical route adopted by step-by-step scanning lithography was dry, using more advanced exposure light sources to support technological progress to the next generation.

In order to pursue higher resolution, the light source wavelength from the initial 365nm, to 248nm, and then to 193nm, but further down, this technical path is difficult.

At that time, the industry was faced with the choice of betting on improvement or subversion.

Most enterprises choose to improve on the original technology path, such as the two giants Nikon and Canon, all choose to further develop the 157nm wavelength light source, but encounter difficulties. At this time, a new concept of technology appeared in the market-immersion.

This idea was put forward by Lin Benjian, a Chinese-Vietnamese scientist of Taiwan Semiconductor Manufacturing Co Ltd, who creatively used water as the exposure medium, and the wavelength of the light source was still the original 193nm, but through the refraction of water, the wavelength of the light resistance was reduced to 134nm.

In the previous dry method, the exposure medium was air.

The difference between them lies in the refractive index. The refractive index of 193 nm light source is 1 in air and 1.4 in water, which means that the resolution of immersion lithography machine can be improved by 1.4 times under the same light source.

At that time, many people thought that the immersion technique was too difficult.

First of all, the water may wash out the dirt on the lens, affecting work efficiency, while others worry that the bubbles in the water, light, and other factors will affect the refraction effect.

Lin Benjian is also working to overcome these problems, such as using deionized water and other means to keep the water clean and warm so that it does not bubble.

But the theory belongs to the theory, whether it can really go from the laboratory to the factory, it still needs to be developed by experienced equipment manufacturers.

Lin Benjian went to the United States, Japan, Germany and the Netherlands to sell the idea of immersion lithography to lithography manufacturers.

However, most of the big companies do not buy it.

In addition to the fact that this technology is too "genius" and many ideas need to be tested, another reason is that the sunk cost of change is too high.

At that time, the mainstream research and development ideas were all on the path of 157nm dry lithography technology.

Many companies have spent a lot of money, manpower and material resources. If you use this idea of "adding water", the research teams will have to start all over again and overturn most of the original designs.

So the attitude of the giants towards Lin Benjian is not only to ignore it, but to ban it.

Nikon even pressured Taiwan Semiconductor Manufacturing Co Ltd to hide Lin Benjian in the snow.

In the face of practical interests, such things have happened a lot, such as Kodak is actually the first company to develop a digital camera, but lacks the courage to self-subvert, for fear that it threatens its film business and hides the digital camera.

Finally, when Lin Benjian ran to Holland, ASML was willing to be the first warrior to eat crabs.

Although ASML also started as a dry lithography machine, it wants to gamble through horse racing. Since Nikon and Canon are all stumbling on the dry 157nm light source, and the progress is not smooth, then the significance of this "Raider" is huge.

In the end, the infiltration succeeded.

In 2003, TWINSCAN XT:1150i, the first immersion lithography equipment jointly developed by ASML and Taiwan Semiconductor Manufacturing Co Ltd, was released, and an improved version was released the following year.

In the same year, Nikon, which slowed down its research and development, finally announced the release of a prototype of 157nm's dry lithography machine.

But at this time, the victory or defeat has been decided, on the one hand is the new technology that uses the original 193nm light source but evolved to the 132nm wavelength through water, on the other hand is the 157nm wavelength prototype, the advantage of the immersion technology is self-evident.

This technology became the mainstream of the 65, 45 and 32nm processes since then, pushing Moore's Law forward three generations.

图片

The destructive power of subversive innovation is also huge.

Due to the misjudgment of the technology path, Nikon and Canon not only means that tens of billions of R & D funds have been wasted, but also completely lost in the competition with ASML.

In the 16 years before 2000, ASML was in the first echelon, but the smallest player in the first echelon accounted for less than 10 per cent of the market.

However, since the emergence of immersion technology, it has crushed the former giants Nikon and Canon in an all-round way, accounting for more than 60% of the market in 2008.

Semiconductor manufacturers across Japan, as well as giants such as IBM, are also in rapid decline.

04 cooperation can go further

In order to further consolidate the results, ASML began to build a community of upstream and downstream interests.

Because of the exclusive nature of immersion technology, ASML requires all partners to invest in it or not to cooperate. Intel, Samsung, Taiwan Semiconductor Manufacturing Co Ltd and so on have all invested in ASML, and more than half of the semiconductor industry has become a partner of ASML, forming a huge community of interests, and we are all tied to a boat.

It is worth noting that while developing immersion lithography equipment, ASML also laid out EUV technology at an early stage, which can be described as one step at a time.

The EUV lithography machine, which is not available in China now, is such a cutting-edge product.

We mentioned earlier that Nikon encountered difficulties in developing dry 157nm light sources because it is becoming more and more difficult to keep reducing the wavelength of the light source. Although immersion lithography takes the lead temporarily through the refractive index of water, it will also face the problem of constantly shrinking wavelengths in the future.

EUV, like immersion technology in the past, has the potential to find another way, because its light wavelength is so small that it can create much smaller circuits than traditional lithography.

The project began in the late 1990s until the launch of the first commercial EUV machine in 2017, at a total cost of $9 billion.

图片

EUV stands for the extreme ultraviolet light of the generating circuit; image source: New York Times

Capital is only one aspect. The mass production of EUV is not the ability of a company, but the common result of multi-party cooperation.

The reason why the US government has influence on ASML is that the US government and the US scientific research force are an extremely important part of the development.

As early as 1997, Intel Corp realized the difficulty of further reducing the wavelength of the light source and was eager to find another way through EUV.

Intel Corp persuaded the US government to set up a "EUV LLC" organization, including commercial forces and government scientific research forces, such as Motorola, AMD, Intel Corp, and so on, as well as bringing together the three major national laboratories in the United States, and American members formed the main body.

Intel Corp and the White House have differences in the choice of foreign members. Intel Corp wants to bring in ASML and Nikon, who have strength in the field of lithography machines, but the White House believes that there should be no "outsiders" in such important advanced technology research and development.

At this point, ASML shows amazing technological foresight and must be squeezed into EUV LLC, although the goal of the organization is to demonstrate the feasibility of EUV technology, not to mass-produce it.

ASML lobbied hard, offering a hard-to-refuse offer for ASML to build factories and research and development centres in the US and to ensure that 55 per cent of raw materials were purchased from the US.

Hundreds of the world's top R & D personnel, after six years, finally demonstrated the feasibility of EUV, so the mission of EUV LLC was completed, disbanded in 2003, and each member embarked on the road of independent research and development.

At this time, ASML just won the victory in infiltrating technology, and then immediately invested in the research and development of EUV. ASML spends 15 per cent of its revenue on research and development each year, such as 9.7 billion yuan in research and development in 2017.

The more invested in the technology, the stronger the competitors are gradually lagging behind.

The technology of EUV is very difficult.

In the advanced EUV lithography machine, in order to produce ultra-short wavelength 13.5nm light, it is necessary to continuously bombard metal droplets falling from the sky with 20kw laser. The diameter of the droplets is only 20 microns, and the same droplet needs to be bombarded twice continuously in extreme time.

The first shock is to flatten them, and the second shock is to vaporize them to produce enough extreme ultraviolet light. To ensure the continuity of light, it is bombarded 50,000 times per second.

图片

EUV fires 50000 times per second

EUV lithography machine is regarded as one of the most complex machines made by human beings.

The high professionalism of each link also brings together cutting-edge industries around the world, including mirrors from Germany and hardware developed in San Diego, which produces light by spraying tin droplets with lasers. Important chemicals and components come from Japan.

ASML also acquired Cymer, a top light source company, in 2012.

图片

EUV lithography machine is definitely one of the most sophisticated and complex equipment made by human beings.

图片

40 containers, 20 trucks and three Boeing 747s are needed to transport the machine. Photo: New York Time

SASML is actually an aggregator (integrator) and a beneficiary of globalization.

90 per cent of ASML components are outsourced and integrated by ASML, which best understands customer needs and industry trends. Behind ASML is the technical support of the United States, Japan, Europe, Taiwan and South Korea, and finally the extremely complex EUV lithography machine is produced.

This is a typical example of the globalization of cutting-edge supply chains. If China wants to make significant progress in the chip field, it will have to face a multi-faceted and indispensable global cutting-edge supply chain.

In the first few months of its establishment, ASML identified the genes it cooperated with.

ASML only develops and assembles, not everything is made by itself.

This idea was very advanced in 1984, when the popular belief in Europe was that "you'd better do everything yourself to control everything."

At the time, many people thought ASML was crazy: "cultivating a partner is the same as giving the key to someone else. It's asking for trouble, and you'll lose control completely." "but it turns out that cooperation can go further.

图片
图片

Finally, I want to go back to the short story at the beginning of the article: how did ASML break up when the Gulf War prevented IBM executives from coming to the interview, completely disrupting ASML's plans and bringing it to the brink of bankruptcy?

ASML hired a video crew at a high price and spent a day filming all the content it had planned to show to IBM.

That night, Richard George, project manager of PAS 2500, was in the studio overnight editing the film with a videotape and a video editor.

The next morning, the then ASML CEO Marius, with precious videotapes in hand, flew to IBM.

The review meeting was an unprecedented success. IBM's people were so surprised that they almost fell out of their chairs while watching the video. they had never seen such advanced equipment before, and the whole room was very excited.

If you take a closer look at the history of ASML, you will see countless desperate moments, as well as countless desperate moments, it is difficult, but there is always a way.

Edit / new

The translation is provided by third-party software.


The above content is for informational or educational purposes only and does not constitute any investment advice related to Futu. Although we strive to ensure the truthfulness, accuracy, and originality of all such content, we cannot guarantee it.
    Write a comment